From 0805fe07686fe8d29fb17073dbc0eb9d28bc6c36 Mon Sep 17 00:00:00 2001 From: Pierre Guillod Date: Sat, 30 Mar 2024 22:21:30 +0100 Subject: [PATCH] =?UTF-8?q?T=C3=A9l=C3=A9verser=20les=20fichiers=20vers=20?= =?UTF-8?q?"openvscode-staging"?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- openvscode-staging/icon.png | Bin 0 -> 20018 bytes 1 file changed, 0 insertions(+), 0 deletions(-) create mode 100644 openvscode-staging/icon.png diff --git a/openvscode-staging/icon.png b/openvscode-staging/icon.png new file mode 100644 index 0000000000000000000000000000000000000000..d54110c036420897ea7292b90273a8319128c5c4 GIT binary patch literal 20018 zcmZs?cT^MY7cCq*1knftDVER_2ud$6f&`EjK>9#HdWj;^q<0XIB1Irb4ZROFC<=mr zh>A#%j!N%EdJ&|2_F6PyzcgTa_IHSQX~U=+gt zUi7rkCw@T*UeFtZn+EO)40is*zZbu9A^&_U|ADUkl^6(g$Z7E&dyO zmU{Z`Y74!~NhJ?|>^5i)XzS1ke5kRE<0&rI9B_P)S)!2f$?-vcs?dFE)znG>)aSna zhK#K2IeXdvG&74>W?(#Jq40^UklzyPepRmy%mQ*!NE3^PL}YBDW6hJJqu`CxD0KDI z|Kl%O-1)&Qyj4>z~F959aY+B%1NTa|%1SybgRlMc&32g7Gg8JIi6)`z`sDG$Niu%}P$qU;Pm z%-zQrU4TjL;Vr_QQW2@Zv1p;Y=YOmCnW?Gtuu(T(kN;2=3^fJGk(hg!$IAj}u`taT z;hnxt?qPCQd%M_ERFT_~VD9VsZh6*d_wUOmW9>&A|H;Za!lb2je;bwwrQ?eW%ieVs zqeNYgWA;e@h9@d5sWTq0tM?&=_{#2dVG&TxW(ppJF4r8NPR0EbOllMA1`8b9i()Lg?|A(E1TlKy>zCNG<0<8 z>AaN=+dnJ306FRs6MXS0p!e1DrJ8>Z{^sgmXl3Z_LU%V93}Y)UTIt6S2r?^FVyE!` z{~H+p-ucI5A*!4FyU75j%oOzSgSB;j9Z`MnoO?-5eLMp1E0P6-F{XQVFAd*NKx5?o z6txf&0B(cgDC)FZJfbdbPu$!Dl7@V%@9E=d1YyR^18;0>nbGLnCQc;lu&3Gh10&8& z!NaF#j-=*l9U-4j)z$3SMndyz=v~;m!3wcqJl<0f7imR2}f^+t+xVa%{P&vHz0aWq60JAE8jL{^A811`n>rHUPz?IOz zQ*^nh0+%R20~?Wq_8i^yDLBotokp zgnu#lV#M9Q5Y{(f^+zpvMU>oS<$Tcv;#thq2K=H{^hK|_*Zp7Yoj`Y20tNtpR}lub1|K(PJsF%ZKS{}g;N9Ym?JjIU2=8k1%oK_;iP`dX2dQ6|>5~zG z@Vu>~D^$0F(=zM+@6#8iI^{HjB%vGgxQ8sJDBy?1L2awd`SSy?h&_ROImmY(Y4dw4 zEVI%Br?+xm5v{>WJJ&Ww2l`Mjn2o16A0@VoM!&(o;v6CwAX2pTV^RqkHVhFo!RusO zzmUt9OSpxz`;36J^!1}|?B!K_wje*z-2K_*%Y#W{vZtZdcvY!+ zLw|q*TI|e=2;%Z9DWZ<#24&Jf2qk6apw=NzI|({G+yJ%i2wUdCr*@?)9ok-b;H|n% zkTn*2gMYUqQi}NU;kb&&tLE!@WyvSt~XRxUoG2^xKYY)k)Un=2=YJNW%NWvD=9jwd02v zfpuALzxA|2*TCm{8*JsDowI!z#!1Q>Oc8!SIf62U`K4O|k!P>Rd~H31wtM9;ufAgw z6kcYMoX3^3uwT=%qj-JCd%Cbu(etqN_SL52!7CRmGT)sn6+f&R_1K*mOrsNNt(^~x zoBZ)-G_un4Z2d*}9+Tapoa<^NEjMogbk~ONGUy6JLjk$cO*RCd>vOe?tNB#}J=7T}r8m;%OC+%?; zD5bn_uHs{;VO~QWP!PFiP1M5*WDl)-OOP{+q~xu@vQ96ci8`tn)XU1Ei}3|wgouQG z&x6xLXM@R0=P$0y`}?6$g^a$`Uf+H0`08K1^!oDKTEd=0Qg;vNRN7BHJ9yg%i~ z=&4YsdePn0r(x*UQiB^y1({C;wjJy|zHlGWYl*p!HdTBiOO^CJuEC#*$qyJRFw`>{ za9i_sG6r>B=L)CI4*srrBLF!>}*k%hu(G>2Bp^8|T!qjcops5Zeb3$ z3A?)aKaX}oWBt_&z;ph9Qn)`xQ+wu(6hi%YaeBv4`tPn{eQTVXirI&yM*UTgwUZic zh(TR1$MYKkcf95mpS`X)ISut(*_E&4E5l1gEa8+=p{eXhZ^~Q6#Sn%l%IleJ{bHWf ze6-5Xcy{|*({WKNzF5cF97SCM#dP{L0O#!7iuiDJr-NyEuaInRjHi(pA8|sMdb|p_ ze;Pm~-uy`;2e~~+GoI?EH-l@>%wm~GuF=Y)SqF07b4$kjy!I|z2?!7Of^Q#LW0 zHBF{Bz)m9iXF|5FO_0cRgx4M~`r#0+N@4~Fgqe@D=h6BIJxu41CXCZiE-g7_T z0kuP~k$MoC9ln^z5ghMGyDqzL7MF?lqN~SyF?=UA2^avER66-}nNFy^e@-)UnNI4+ za<|xk6BTl3oyhqEP}1;2#fzPejiwThF{J> zbW~s2IgRD|3^_Ce!F042TNGW{1ktXS&*wB=&1j0-yAsJbe2w!xC*pg0dFq9qBm;&Q z^#+fsa8yQYD5Cmve;~OrEY+WiLB}E~Yq^4m)H9~os7TxM5twoRRHv0uB99(VMawn&-X$HG}0|$24t+UJ}#S?mhyOPN4ojx zdp+^E+X}3Z@hkXXc8k#`9}3 z6~2+t8z*h6y=&Q^9iGhKpu%88*!Ll9scDYOd4@*U6u<@1nhn}IuFgauhamhk3}a?- z$9DSx3(K?|`X(9M{IM$(Z=7FUk+cFv8`V|@0BErl@NW0Yg)KV&OZBJKJpwS*`L;?@ zzdmqX+vg-z6hW~q7oxZtU>85wwB?GB88^<#B2cHD;}(5@jV=VOBMaEQfLP6ZSt8*| z&2yzP$HuXMYMT><4EIfDHX1_mSCLz8ebv7q)cJi)Ej)$=0-Uelv$2Rl3&#}R+?f~9}jYkaYu z5!^Pje;1^T?e@9nA99}fEoF*)qadqcMNL<|0bk70Iq3zm`n38e0( zU0>TU?>Y)VNWtzJYZS^L(x7t*2npt=zbVLQFj!UJC>Y{;V1cDS0L%7i)An`4cN{Qg zpW5D&t=!$LS!7>|G&b8ZYu%UW+SoY$2jrZ#+!qz=PTv(?ZM>q8YYWOC2zh-gpc_3j zsC%w3xRxHSBLn%Z$oRIu$3g(GAS3aYVP(AYX|>_hqElW$vT2C-<@7hJ&5Me5-K35s zCL>=!s2iKuChc_5lyng2sxoj$05?)-B8p3*=(aP;NVY$@q8D(743BIHEoYxAo}N5p z@UlDWJ=&QOWv~&9c%hjO!K9*Y>@x15P^DvWee&Ibh$kocOZQzU=vR<`iu4*OB(4mR z`fnMEn&XSBKu?8A8IvQ;*ggCQCHBxj=Y~~q_bdCH7hoos4-fmI!FYUlfSbI*2v%~m z5QxjSctw+uYqfG{m6E@0g`w=OjwcyzdY!-3MNWIYRJ)AL{r32S>gXhp zzWHrYn$&7o`NH7$n1NL6OQO9473-A>yT zLK_^>Ogbr-Br?h0Y=cSxyT{)?i*yo^Epq5M1@v#Z$^#(vLRVd@&v`XiZ1+V?BH^yF zAA_^_-TUz@B6Y30(A`!oT?P0Dw?N_FOoLfXs2Wmza}#b-hR)9UzoQ>ap+!wq`{3XT zm_&~)0Xyqjw=T<)jccKCQhY8S>gm*5l}EE=W&EakZyQ9uY!j~Y>AGUj&+l;}%zL2r z2yVG3iigsWzgi_lf6s}ltn6`-0{W3Z)pz;IyMNnqor|A7!A(l2vvdFc+^Ozz+vAij z8F`ryZnFTk&*5heE3Rh(Dj(g~C7o6%8u8(vMl+Qx7v>SFB^Nmf8b&*3C{uLT!bR5AT5-XDOzvZu#BVGDpJ zVm3X0Gdb^)m->>3QW3SLxJ!t&($$o&X1Hn>p z;RGiuv7)|%n|z6cbvCGHrRynFD%E=d24o>ty1xqGMjUTF=&qZERa8hf!%^Ta8; zE4Yim&KJX?ucP)XaA8t}r=>j?S;NaMqh_I~3|^~iMJEV+j7lqimT&H&Aths%zP?;A zC|cEP_~nQQ!t_id8z34mgnL*LMZvtP*mG1ZTfoYdWnluzL;FdqOn3LdE+YkWOnt?* zy_5SgY)IoFP2&$c8T+(OXdz9$%WH~l|9--bi%+QxD>w>BhmuOClyr`<%>IAF2lg~D zn^&A0|E|(g9DzQ+yI~Wgds#mLEcqfI+Y$x|O+TomIOS>Tv6Wm%qv3II<1-wrzASuQ zPK>p(7pta6R}6oos%NwJ*be&L<#2{cj`Ku9W(Q@_9_#AgI?6{Cw3nuRfo>1l9pVr+ z1J8e>7Rq-u^{qF~C!p)k>jWB;NoE(gOSCP>*p^WaSXwW!EU_~85X^H>^!kF%t zwu!!xgA=4fW=z!Zl{__Yn2)=Y+b!hv*gi=8@?}fVHPe@4%u{9w=YaABwo~=~{P)Un z*KGK}js{YfE_c>gk4TJrmE3wz5`m>;ERLvt1#Uz?{Senv60;{+BC_NMEOSyL^-Rjc zNWtU|GxI->sBj22K((VuRrFRr-rzeld^0(7ka} z8jMllu#60Zx4H*w4{i+~d}zOT7ntqzW+iuPxT5Ou`~cu}b%P86#l*T+E-3UZyW6#d zl@STvIRs_>D@!{kfo+$*Uf6fV2Pr}~aW2nk@(3E}!Q+UIZv0DV=?)T3YC)oxeuw5vy>@9K}(5MoS=aUH5pqD&cQ3sn!$~z zrz+vQM^D6-kZX1Z4#kErP6I%INceQ{q)KF%0pD^%Xo3}PYJ6wYa8SQ%)C;I^Y-++2 z@}B3`wsI9l-(1m*zqvAT(R?&Wz}t01qAt?n{e6SclMf#wE!1DKdK-u5j+8j)LNs9G ziwz8;(}ExNdQp+Lm>{TKK!O;5c z+*K#_O4G?WV^iaaYr0R4!fz>Q%A+3&i@5JY%fjj#Q?axrp%*T+2G2pU#r1xqu_%mV zl#8=v^oKC7{AC2p!@t`%2f1Lb1c7Ze>(v#t3w5oR&=jo0Kf9zQxE{FxJ78*g{*L@= zz;~5jt?Og%fBjDx5yK6Ly7ndFuz{)895o*Z4BKA(a40W-*$~G-0Fx zuDEx26X{j_TptWoe48l%s1W2Xz!$${9`-9(VIouo=5-zq(ohiz_pkyi} z1S^~WN@wBC@7s=CI!z4*-y#z8nh^9A1G;+Lk*@^G8hriPy-lrFj!mF#3wJ+B-)k{t zN@6?BadCx^twm)vx5j<+dD&UhNiA47_j%T#Ya0H*k8+z!H>%uJGlOOn-Buu^>SUyj z^AjZuMVnX@`;uSaag%XFu%bCVL<%>#;@TuhZpzRoc62fTy&Av(Lkv_l5r-@vld&OkGukNb3a_julRNU38@KBV+b?}+Wh{k1#WzFg47M_oUlLx%7J?f zfA0$BZ#)-qU$+esp|p)_dM%Vnz>zET)}b7gj=4``p+AsxZT~ZvYkJrJNV(#^&5(bC zfuf=k4eM~Um5pD4OAOw2*_!`LOuk#Vfj_VvJ$dcwNdyM>AIL9|2X5aEgrKi-=i2gU z_t90^>djVu?duCTo6Pl!X{mAb;9h8<)ojPwq%$GFYv#sEeLePCed|j|>vy>ugnrON zT1oZ=JZ9h}QHMF?x>m;Xp$-1zE29vuk*^Fb*v`q{3{3nt$r$D3G$=jz0hW_OdDZ@r zB*I<`EZLv6u^0aJpT3$`rra&W?ssM5=h`J-#tV<^2NNHc&f;QXwA68jh8?Ugme2F7 zygvUEOShQ3{4Wt83_6l67lzg``WEMfDtOR_9rrG}9~yRs_i7IxT-<}rHC$W3O|!5< z;m8C)Rj8MY0Ewy4QK)?JZp4p21`00p-5ch9#%X!AGg{dpc78Xs4stDQTMsYbD_uTrcm?h6<#9J%ry@lMi7uk z3%WBXzC0hCITR#(b4AEu5m#Om<@Z~c)WmpR5ys#L?Ve32#1G@jg`jGL$I~Z&%)yOF z8kiW!H0x2Fx&#@n$l-}c|abwF|2*SA6oApW|?0GRRVNzGz?%-VumZJVQFnP zvm2{?fBcAOY=hz-1OP^E>IMF)>cXs=yljv_`1Gb<@I)0P7IRB_3*@n61EjMZbru5PD9ca zEOKX(K{||{2uC@IXC4=M4-pWt= zs?&jw8}Wa{D%94D>T@|?dH)Fys@Nx4=vfP2k*?lKXHnCr(PPcK<*Sc3H}+G&U`wwy zF6<_ONJpIrGXgho-9k+1lw$^ZrB0OL&unx8%IDxC8s z0kL%KjD)7FsOU2fSJW>O9AUEbPGe^5ImYyxDw(tr8USD;_ih6|&^avHMHu8DJ!t-F zfeK~olK0NE+-n??a)PNzcz!L0pCZti z=CgmT9rVy{C*rZzz$2(y+?;gR|M!yoyPGlsH>H zAE@b_5Lmr#!j@2+4tG|@_k~>2f}9FLYS3xm>e3n+w|QkX&XE@h|`p>w9l4+I6v3z9z;ZLnTU7a)#~dIk%Up0#5zIMV|{1?G9JBuL8dD!m#I@gvv>j1+03_Yt=%de<9dMNzijJq zF)OPS`<=eKrZy8v%`YH4IW~8j6hU&g6-lA%z&Jg{v;0SfSIHzXDaFX6dA)!))Ww(I z9C+JmUh{SrM3rlS3YE3`rZg5vNT>3qSBhk1(=+K9b3NpTB|H5P7N`iVmlNi>r9e5L z%~VVgjRx@xFk`O>OIH-}AMe=Q0gdRj7jS}(wOR}y&B2bQ?5K>B=awKuY@vV1ww)>1 zQn=fm99}I~LL`X$3%9^98aF6Ai`H?GsRU`U7*=GoFaauV9EKNPhze_vBmbA_1qdd| zp}oj(RUFer0O(KEC8T5LyRokM58q=4>c7VSJ%llPaAvW_n&F2kf8#V$hsLHfX9J?c zRU>o0@yS<4BL1NlzaX@JgOAz%X4ZKtUn4`@G=$@*ug7oYq$ynH2{kao2mQk_{MSXL z9B6bHxRGlR5*Hf?!kFuSq3_YXPjbJ*i@8@Nxe8iSvjKuOHY55EatP~#G}`|zPDB4S zv-t9<2aPAW8=>^Y2$+4l40grT;I>1fH7dCtIf@1;Jv_vU}zT5wF+fUHMP0vP*w{`)|YBNc7f%$4nTYOBVDc&n)i)YiB0Z1FLqot z2*?$4C53??@l;MHmQk*ZsgT?w6&^~&5PQbA9yubi=q<-5PnmgKOsNQ>n$$7Yb1wK! z!VU+82dDCQkM?Sn_5E}K;Uwle+>c$PH-WxvsHS52I8iU;0Y>e@sz0D7ab+DB!%8?v z{rF+<8Z*VR7x9&_|3A!1+Y=EX4Rb)(6~9GVDV8KS7NqqT`$RidDLGqEK=p)KI_`t21Ns?K71$jYcp6B zaI94eW|JApDkuAXGeH80O)B%9LIQI?#14gokOMjE9;_HWMbY25<)lw(Q4zdscb}Q%&NT#rbJ!XVb%{twOi$}hMLvprRhvh$$UJ)JY!4s|=+ge-?VV%%eeZ)1y?{}jn~PU$ z9U^U;R%tA;WqkELQCkL17Z@q@fDbF(vCr|5Ko^QvR0AuJ!3reNf!H%s3U^CSOS!3>6C( z-+(G?#tZpdZRF9%oP0@U5>BMdJnMl=4Gcogr6K~8a2{7jwVDsuUz0nkJCS*t%Zv~b zitUKKDHjm4aA0^LZo7FxvT2?+KF>3CXni=TqkE5;&3l9rnmuAu#4(_!YXL=B`Y;Dt zUFog>v#QeG&uLt{a%kRxOP{sRss8YIsu0u;#rVgRAId!wCl}v+jFm&PhsDI8y{*W& z%t>7Zy>#}94csz8YVLLaO-@K+y^&qc2&uoh^~|5%E|%S?r8RgY|8#hlwtfdpS_MVr z(R&jZJ~CGFLCv+*Gs4TUoH%faDYZDT;-dNBm1z9KAep^dS+cuS6F$-eAGv~yv0JZ} z@QhQFxpL>s2U>46d31cL-d$^sXT3T3M{PnHHG6#hWuzG*Apy_NFDlFhOZtUH`%@7F z^QFT+vh7(LucUM&ckE|DdkR9%{(?4HeEiv3Ee{%SdZzv?DuR%}Y5Olmg%m_+Mj^vS22`=)7F~-eWZ(~a z-%ucfc$M9r%ekJnH6CGOV`IYBL*lW(7w2%k)*_S(?Oc2UVwBo{#7?72kFd9^6VoH^lAHGG&W{* z-~=HddkG6;oL9h*u^#UCp1RG5Bj=4k$cOGZwj9?xHxtNq00(DYgd{Up~|99eU=@6 znDJ6Ajp0AKv0H#!jWFE5n)_t@ZhOb^{sH06>$s5{t#>Kh&I*F3dG~KJIQD=)OU>;7?WeZ8xdFKp)Tw zv?<>ZdYkfY|9$-~xUeoaYiQDu@kB1`h>FT#&n_MBP$BcL@{1UGHhAqv;^2?(SH+2h zI%WM;1+=&qP@AgvjYMfb^uA-_rPIPrtm0^63>3X;NCsl#RQjI#FN<1JWKE4mr5G1* z7pq9&MG-qs%rEIf$q1WR2dS=e@JBx!eL|k^KcT9RDSV&7@e1L2eV>+=+!)zUQ^-a9 z2)jFkspy4|{j0b_!QOYDJ!XUNmhJY>vuk!_2nD@cS-GNh)n8?2@F|p#BA3sf28_$} z1+NcgzA$(thi=Ns_%KEiH-IX0wZPT2RV%-~A$xnx3bwSDyIy%C|4_nF`800NgVu`m zg}>V6&N-jqfpwcnH*ny^!B$N^WP;m;{oNcrpZg=;G z-3ZNef`t$-XsoQpc;maV0h7l?Gt=b0g3q^X71uK#MfbX|&N7S#Q^_hk{ zjuT|uR>4l{sA|wCTFbG?u*U6zwcXAf@YLMp`7ln9UMLTw#G8!^49!;vO0AF2z5aE# zZI{L#3T5<&0Xr1oueUADTcZT(87=>7jh;u8+BV22R<<}!@Ng_aB#$LEIDn}Yk2ElS z61ezGCS+$Uq;amD#CgMn%hg5A*wl?u>k^CU$y+$|h-Qm^6t8-PY$18DlH~747&mUI z2hWD71I`v7OuXfA9^2kMvv~dfKpZkZ^fZPC7*D{l+6T9;OPMk<%DKF@KJJU#PZ%OdBEQi zb8j*m_fL31p(-vpdyfpK9=C@SdNnrGf<=wV-ma+UZD|4q%4fK~kM>h@;qUe*Aumm5 zH+zxbboQ?SAKcMqX!N^jM zs>N>{)3{~CXhppexuX8`_lvTUIhg$umaPd)YFJ=qd46;oyaoHHYRIQvw5COE2B)+F z?wY$HR>1}a=f0K2OIWU8YfpnROA3J~{JaU!%3m@eE2$J%-P@G;-JH zt3)ZaUyqDcsH_j0yq@-U|I?UY;-6Z?79`OPfFvja2|$3W8=I5f#Mg~w4#+Vv3%U^+ z-2`rdMybXLpPRS49y$e+u0u9rqv=6hJ6u%)MNO;A@TtUb_0#L5;NH|9FP?qR%z~Iy z(iYeU&7l_%%yLSFF^!jQUN!6Y>EgtwDik-r$k2Eq5neB3#9O;AR=L4@eX%FLEV4ii zI;L4!Eqg$Hs%YakvokCPn4N3>84h!%Q(3{4Uzeh^e&pR^NABF3a;YmYJUdM8)sBH4k zZ1J~D^1R6ygJv^fxwY|caOH-*lI17E5^0GPUo`vkfyug~!sfMkr;I;j!_T($W@8$1z2XrlXnI7$XSz7+I zMX!RA@@Ur{w%)aY)vCZ#RK)jdcUM4dU%-i4ZJQ%3Q_iKA#AAhzT*ZYcD!wi8^!@Kk z0D}pn{99hL`n7Z5wgs|%WdQS5L#kR?@~RWehq$1+HBI!Rk>wb4b^0k?SdkhgUTw=PAy?(H)U-p%^%P2ple&Fe@TzW_dw6u1!)d_ zJOgsN*7?iSF?g-=tKW(Y@x>M}p(^W7H6(cCBUGJj-w&*9SM<&Gn0y?*7jMf_1LF~ zblHf;0LNS%Q|U0pqzj~8cxiac<;N=>74>0?)j}JIH?|JdnA3jyq_rtjUM3z`Df@@_4%RSjMh}ME&9ZpdtGAO_Y7pJ z`qN|9|wkllzfU})_Lg~Ofj*30YAb zMfLC>hX?H=id9>DUO*7Nd7k!FFE*}iyE(zk{Zib{WfQ7;&Lm&p^S~vPD~(uYROLF z&nA6hs?dQ8Z)AbJ0$L-#OqicK;-%{LlX%unC=CNSj?9W&Zab-TCEFr&h7j<<0Am+&0c+l)w9u^o63Tu7$YSj^x2&1A&ka9?gy z;0swY8DTQG6I9X?#z`c|vMu;=X)ko)6*45wc}6;Pvfifwl+PoB7sx6G=j~%5Uo20>qNSYi6$qgGz{hy!03Y_qe z+Ir8r;6WDQw;Sa)X9gkYNM1IC>h$o+=3)rd4UJ1m-ERzKue7ps-YkHf9Z%mp$mOKl zO2OT}cd{7&)2gL+HTYDVT&Bhn@H<(XwrvWe+~ zBxYUst1*k>62&%p8dRZU48AxYEFvO##J;c&G5dAj;4S&+K^B^`(D^jiIQC$IN)I^C zOB|A?w(Z{scL@Y?vj;U9#r-Aviw|*|Wl+%S*G62H)J(~h(k7RQ;BA)=m(s>WEuE_M zj3V2tW1&K#6IX_zlllak(6D$7)meoX&F>FXIor zMjM0I1u{C!(kBo3s&2#PX7d%qjI#1}Q<41PKivCkY9W_ht03a1?o8vX!(}-c?UJ_~ zdsV22Hp))p+cWiJrDqrS{u>@j=;0pw1uo!X7-F%(;K=%g_hih(bKS4wD5r z^VA#>24$+OM@=$G(fFbA-?&KnHCM{-=|PU%G}P|BrmlG}V>p|bRwa5#_(jtCy9Cg zg%GPMk=3lE1oQ;DuSK`|nP7Ajo?GIP^q0w4yg?bofWq~fldHUBj+E6m@Fb)Eq7PV8 zl-*Ma?f;~i@{2E<>J4s)I$)RjATF!Tpc`wTtnc(@)*imwF|ev0qHKFa`Cqs}!|1tD zSe?_!DT?Oujcp()50BI{jhUj}Qs)i1$iv|t&@5wmCy3%F)Y1X=`dnI+tHa)7dntG3 zr9|`znWEP;9AE3y3ko+78_K6HHm7%LBN+&RT!C<{&HAa^r~xju#*2PvjW*AbOgzEh z_wcWH+S8Lq|7Z>TYxF;PgR)tMM7c=iK?05a(BpAO#~wK}RKq8~^PW3N4^}zB z^bq$M%piLtB>I$ySieJdij8|IR2n`Y4(+G#%p@a5SNkrY3LhTJ6%diiIfOKPadU8` z?b1)D_aPqho95FCIDXoW_1LDBeJBlj^R$FTC{#v5btNvpD`B3ST(yg4warfiQTe>6 ziSvklN{#MI8N?w$VaPO%%l8F>A!Clo)5X1m9BgRt7sx=Ls^*HyPZh=7cdbVIh$J0P zs9(QBd3r2zR~`)+VER(sipO6K{joax+)*E7;r=f}#wXxa(fn(H>gh-2`|^zYugMf= z87*RdSFVKV^sI1Ye1P0yY0N7x#rmKQ2*Ld5ci4O@$^6DXXpEhXj+i^WQUt2p3#Su9 zEV;I0TxDu{9+3{DX6H_J?jDNION(i@V%n3dTc-C zV`(TB81%*u;GWse39YRzRz*xl6o15P-JI-k9wa4~Mz;_4>DsnGILOKDRIQZh`_-uj zrHh+J3Y9ES5>~be3vp37>L?!Z8;+F`pGBmU;Ef9xaQW5GU#1E2#W9rIF5x>i-Zc%k zz#bY1B;bt`R&h)btc1blZCc|rdKMV-j|f9NO%|(DH*~aWNZ~Ao0!h&f3%F&$e|~yY z$pEd;=oL7mz?Nj~fi4}pV5j?u^ag{>1Qq0_1K)-PUcF0px_kHj%FoABRENJNwJNU0 z%iKxQebxrm)Vt@P9;@^>6ZxN6Y{EYB_pVNB@l8b*bgh6*=hDOk7=+d0+uTx-lKhf& z<6Uk~B%E@PmNd$Dz;y}Id?e|D~Gkm0UP&-5$Ih8UbhW1#~tZ;th)y5n* zyC90NdVd;+ukp(Zj#YzweU6S_uSZq<&rWiN;3v{E(#LDTLijs3V8MPaLQ#Dl8!1 z#ZQt4%O==!>jh>DY`B!`R2o4gCz$`W-fIz1MxmvN$mLY1eWhcSsc06LuWNxh0Kqa+ zcyYuCo#BTRt52uOl*xyVzJ9>4tE2Q1T*r* zfL|kHx1{xoKxO#q*MrxbT)afH#fMoCg)h=$Erev9-dY3C>!UfIXg)~hWanl*c*y?2`VzC@&u*sIx#DaKwvGeo;&k!$})NP_GKG`B18 z{QK3G8LjIRCcjHs%gUvAKh?oip>-MZkL^BIa||4?fY#cuy?PoKL*J9jbJf$Bd4}ma zB35}8vbn~c&KT~L+y-(W^D9X%>^meoWv(}@fO-VTfu3OAo}h;>9{6=`4@`xYA%E=l ztf||ODr4;1U%+0(a7*v zNSaJx@yJ1zE9-TzsJvq6hMHL3*86)M$xXjIa9HX*$5#X-&ZR$c>4JzWtrtK~b?C|9 zTs-J`Kt!)m$y_`X6;^&e?q&R|b;5BiCf}5Zyla!e@wqgE(_26R4XLiwB_ix&9#2~{ zaPw8DK~ldTI{Ni|)N?#Pk0{3UOlOzzoW>FovPinIL*9;6FqLSmf%6+Oo)YFi3e%%T z6~&|OFJz{1djTc#l}pP^*@wg-G$oEH{T|J{skv0LeVI&B5neT4CJDqB6Pb_5wUb9{ zhQ+>akB7sM0H+ke4`huK9SrCR6Ve;#Vh@R2C<#mi15g9juF(Si*P}k}vFc-tV zkM}%Z!0FdOCd*NVgT33AW%SO%YpUEQ3pKTYAzV4s!ordgThL!_4f$QLworFRAk^Iv zu0j8Cqbx)N(aE-ZpT*=?kfO$6c5JIsn|<;ha^h2{{M`q6yNLvmtK93jWt+#Z7E9HxNA^R;91}nit~#{xPfg$tqtRHk z4oP&lmPWtz5kEq)x0~beQNgubP^d*SpKk>m{K}440gtJpAPz%7#>=6LmlH77QRvZl z$c!Z;Gwaf*1gUOSBslTLJ<9t2aWO2-msjf|6b78_kh@EVTVPBMJ!-DR3hhE+S4fID z4Sq}W)i|;k@ims5M#;RQU;Vt)oF41*H69WNlc`nxzcT5B_iO%LVhx8^IKi{k+uk?l z?E6?;f_eg9VQfwkhXyW{J$6*fZABRa6_EvqNPh0>iT*z)En1pEx6lWBAx=2W8m6)` z6;zj!wg`GXCt-&eTrZSXQK>Q3<7|IK+0gq>xo4D-K*xOjyc=og(SKxx!M<;H|D-7+ zdu1w+rjs$WjYxBL%!1IRnDLwG`g>1a2qe%cD!P3Lx{=jJ_L7-6a4N3-OIwMBJ5Mb{<|U?ZhXtJ+a!SH4RRd#4xATj94z_2A>{;Vp zgdag(Ii8q&+6`52??d|%U54wYB6^|6I!O1{b2s)j1tRU=wcML%N?-1MgkDutS# zl8H#t1g3;IbQ|>xWl3>%%!kTj!(jMj)@AE{cU>u!o@_Yj?9TF*b9uWwu_z@|Nfv-5 z%W-~b34>FrEHUxDV5X&aeoGq`<{NMrT#9ZjYP=EreupyJCyY5O?O7f%jpm-~ZuGq% zRpe`;ajQN#HrN%_L+U3sVTxronGh$9zczeN7jhEpQ2Uehvva^^t}dI#X9K(2$&lB# zQ+o>(g)4nw9t?ipPdUeX465BT2v25a;Z$1o1JtA?X8nMrZ(l*EU4%siD(!~Q3RT#p zC8n-Z6*Xk1ywHRtA0fTSv5?c}d0SsM2h=PD8TO(pb#-uuG3dM2TSC;=lZ@XH>*4BT zp&5Uwct60=p9+t^eI5T>{^T60q94+oWWIg71_I$V-oe|T4Cw`69f}um(+T3A(rU^8Ln z^}3G}zIc`Szr36Z^=`_|Y3A2L?PP2txOQ!yJ@`H%EWbFS3=&4)Sa)M}^xLJyEByi0 zGC>=e8MOt`8j`a3xIUw*6a$F&-p`*R^Kuj3qo`qRiNpC2P`p zkx{PgK8hxDXfhe0Y`NLSOc6qc3iD(zZW&48`ObYke>~^kbAGS$JFjzo=l5OSpHBu= z^Pz}h^i=ccDIL0dq5FYfecCOE${Ky|1}&(x;(8HpGi7yo+}S!@Iv4HH-JL##taI{l z{*4UXPvC7_Nsdth`z&31nHEK9IQ(ab06tWVtrPMN<3;oP44LVa!H^DQbx*jV{Jm5i z7cuOuyEsLRhLS4C_ImW5*qv3brxh})ix>}b_I`^Tou2M5r_}SeRjt&w`JvD5x_lc0 zRd6>Ok0SR`o)l3Tpy&kCZtfJ6_Yv`JE(FW^1RZhXS^YF$R(Km(LUFmH9o&G{sO8%a zaC+>%w$$O#uQ(-8CLtSt>*d503R{*WT5F9#k|9*Io5~I-a)00==!~Zu5(TJ6{?!{) zGaMcl*LQL@ug7ArfLSy%cjh<;U~ngTz0HiL zZE+*NXrE;JpC?V-vXQ#B(4gIYZ!!?0@YVS+D;m&ZP=*m%1-Re&BO?uIQV;;VAbSA^ zY~^98w%M()e_koOtZuL-bzte>dELQ> zUBd4~K2{d%ZUvEoV)`T{FAmF`Ll#QTlK^jwBy;m9cFU8~K|b9}5+z%01$3{vmHig& z3Xbb}QuL-GO%mM@1YU^H>e&NT4I=ir+vXNF9*yqfM1&8yQdYgkGSJ8#JqP*LiQ@|h z^M2X^B9>rcq$5B@{cTc9!1@<4vT~dm?Jq==+=N2umtu^((Nk+L8RY)yS@Jks4A{Qn zr9nNr(>Trs!LFxq3UbLr^ZKSRHL-+foA#V^>-s2+47Vfg_nc9nJqdvJebxx=?gQjgYbUCj z-?`-_0k*QzIV9YZbqW6(X&lwZX|TF3Ye9b#11-!w@@4fIO%Rc0ouj4GPWPYq!IaUr z)lTWq6`+!Oy-!k0&(_rd8a(oSX3OOOaNuCm-1Eejvj_>zz$NH<(*AQUaQinB+ArYy zrqA4(gaT>I9SrJG&k?o;lXcfBILxCl#NK-|bLUuR}fH9>qAQ)uG1TsSfIWb32W zD2yS~-s-qpIuU!OP&1hRr?klZCwCdd1)`pJQf2O4lkySmD3S&B4NYfqEYn|BGrY2p zJS-PP{GnY;oX^>pLd0GtV3%`3Cu2#R2m@23F<)Q5&W?Sk@$q}BM0G>vEhwa3?dC-u z#=1q3YAaSbi{MJ*X?3$bWh}~g=-aiVp;#UCz*-GF&h{ZyGx}L_h~zKH?Dx(6qeOLe zw~SHCxvz}1OAX2@A75#%EfA?`CS@a>T`#^MzEPwX49#Q$XlI~w2miYxCPsaf32uX# zW#fll1eU9Q>!Xr;1G%A1l-yAE>bkt-_$R|5?XM|D3{zhx@0UI%bUtUw>XS?)`T8)6 zJ7DeAwiE}hBL+#4m21bN)*CW+SbzTmR=`GB0lV=B4&p91=jK1K7`(5=UimRBlzj8C zECt;%?Rh)&&l*&w8w;cvpmeF-jn~+ozJG*w4%A+=+^pJq4-scY7#fIZ!UW%jpV*j8{h}C$$%LebpR6G&$mo?g%Cuz`;cS??p?VS=@ft;!- zS`Q1LG!dK3^XMWcZZS9Cs%+Di7#}uT7w}C$`fKx-$NZdb-i98%#f@S?aVnAfYaLU<=@)X=3Efc~ZLSvC*R2z1%xeQFpVcKf8oE^C9UpcTEaGz5R^6je~iS zW)^C}(bA&%Fw1c&ilms+H(%g=KZd;$Z($yIAa_RG?ZK5yW0Kex?=yXEgK1?P*B_sy zc<(jN*~L;S%g?Xgaf#m@5?3Ilb~JA=BfA`!4};DmRIZkLOOK6g`2*;&Z98@I^SU=_ zd{Jcyn_wqClA9A()6$CiDuNoDr0D7#>%{eYD~LXAMCgkw8E9YH)N4i+wG$QurtI z)x2b8StC8zUkpAzYvtcBL(zDC=TiU{=ffYun&$YJ)(A<^e(* zb@6Vzv!L@$VBm$|^H-$_Fy_wDX(4~Y>cp=-AJwMWPw~!Bmc{%o^@daErtI%~^e)!-1IHr|z$a)A3dU&KI1q~S!oE<|!WH8pi#QdKzl9p%*< z9^#^yPLe9RUlZoeG&fg6Gfh&d)iY+JYn(e&L%mv|LN|FH>N$QrJVh>i|?; zQ7ss2{6OfB>1b}Y)=~X*X!c+kGBn3vbU@T!MIaJ~6Zyl!g|Po!a1BMkWjVy?3s4kb zPi_ZCM6ev~|D)RfrMQ5G`RY&NVs1+fWcNwC^PTJ3Cv2LNA~vnY ziarEzq#}~p^&z*QfPAyc1{^bT7?8NTk_PPqCe{n*dCeO_pgkX!?Vyti-z45dqm&=a z&1h;ZJ0vk0AIq>=lWl+BEWw)IrIt%&U=}K#)V&|e2wsyCo0dThco|u&V8kADm5&f~ z&OqPDiCTK9c~&todaqa{l6iQosb-k%t(ggWyg!}vYp*x_d!{Lc7R^_#!k3~lIZN}| zPmdALUZ|xODQ}zCJ-3wN(RqJ=f}ch~ABW?#Ctx`qri#;!P$g6r-|CGOJ@pPT`y5@p zvJpK%M+;G>?r>OAQ|lAmT|pmIVP%@Xc{fyX^LM`R-IG9}W7}>{l|fe;`l8l~!(t4Q k2qr%Nf85l$vbug}>e@<+<<=@Nfm?IpnB&n(8{d@w0;ENmBLDyZ literal 0 HcmV?d00001