4926 lines
465 KiB
Text
4926 lines
465 KiB
Text
{
|
|
"cells": [
|
|
{
|
|
"cell_type": "markdown",
|
|
"metadata": {
|
|
"id": "uINjDJNf39eD"
|
|
},
|
|
"source": [
|
|
"# Semicustom digital design crashbook\n",
|
|
"\n",
|
|
"## Sources\n",
|
|
"\n",
|
|
"### RTL description (Verilog)\n",
|
|
"\n",
|
|
"The OpenROAD workflow takes the circuit's RTL description as an input. For instance, it can be a three bits XOR gate.\n",
|
|
"\n",
|
|
"\n",
|
|
"<blockquote><details>\n",
|
|
"\n",
|
|
"<summary>\n",
|
|
" \n",
|
|
"#### ↕️ Types in Verilog\n",
|
|
"\n",
|
|
"</summary>\n",
|
|
" \n",
|
|
"```verilog\n",
|
|
"// Three scalar nets\n",
|
|
"wire op_b, op_a, result;\n",
|
|
"// One 16-bit net\n",
|
|
"wire [15:0] word_bus;\n",
|
|
"// 1K-array of 8-bit nets\n",
|
|
"wire [7:0] byte_array [0:1023];\n",
|
|
"```\n",
|
|
" \n",
|
|
"</details></blockquote>\n",
|
|
"\n",
|
|
"<blockquote><details>\n",
|
|
"\n",
|
|
"<summary>\n",
|
|
" \n",
|
|
"#### ↕️ Assignation (non-blocking) in Verilog\n",
|
|
"\n",
|
|
"</summary>\n",
|
|
" \n",
|
|
"```verilog\n",
|
|
"// 16-bit, hexadecimal constant\n",
|
|
"assign address = 16'hCAFE;\n",
|
|
"// Unsized, decimal constant\n",
|
|
"assign counter = 'd42;\n",
|
|
"// 1-bit, binary constant\n",
|
|
"assign answer = 1'b1;\n",
|
|
"\n",
|
|
"// Ternary assignation\n",
|
|
"assign muxed = which ? source_1 : source_2;\n",
|
|
"\n",
|
|
"// Concatenation\n",
|
|
"assign padded_packet = {5'b00000,body,suffix};\n",
|
|
"// Replication\n",
|
|
"assign odd_mask = {10{2'b10}};\n",
|
|
"\n",
|
|
"// Indexing\n",
|
|
"assign one_bit = bus[4];\n",
|
|
"assign bits = bus[15:12];\n",
|
|
"```\n",
|
|
" \n",
|
|
"</details></blockquote>\n",
|
|
"\n",
|
|
"<blockquote><details>\n",
|
|
"\n",
|
|
"<summary>\n",
|
|
" \n",
|
|
"#### ↕️ Operators in Verilog\n",
|
|
"\n",
|
|
"</summary>\n",
|
|
" \n",
|
|
"```verilog\n",
|
|
"// Addition, substraction, negation\n",
|
|
"assign sum = op_a + op_b; assign sub = op_a + op_b; assign opp = -op_a\n",
|
|
"// Multiplication, division, modulo\n",
|
|
"assign prod = op_a * op_b; assign div = op_a / op_b; assign rem = op_a & op_b\n",
|
|
" \n",
|
|
"// Bitwise not, or, and, xor\n",
|
|
"assign n = ~m; assign a = b | c; assign d = e & f; assign x = y ^ z\n",
|
|
"\n",
|
|
"// Logical not, and, or\n",
|
|
"assign ans = !v; assign ans = v || w; assign ans = v && w;\n",
|
|
"// Logical equality, difference\n",
|
|
"assign ans = v == w; assign ans = v != w;\n",
|
|
"// Relations (strictly) greater, (strictly) lower than\n",
|
|
"assign sg = a > b; assign gt = a >= b; assign sl = a < b; assign lt = a <= b;\n",
|
|
" \n",
|
|
"// Left, right shift by n bits\n",
|
|
"assign l << n; assign r >> n;\n",
|
|
"// Left, right arithmetic shift by n bits\n",
|
|
"assign l <<< n; assign r >>> n;\n",
|
|
"```\n",
|
|
" \n",
|
|
"</details></blockquote>"
|
|
]
|
|
},
|
|
{
|
|
"cell_type": "code",
|
|
"execution_count": 1,
|
|
"metadata": {
|
|
"id": "gpgkIYB739Ii"
|
|
},
|
|
"outputs": [
|
|
{
|
|
"name": "stdout",
|
|
"output_type": "stream",
|
|
"text": [
|
|
"Writing v/xor3.v\n"
|
|
]
|
|
}
|
|
],
|
|
"source": [
|
|
"%%writefile v/xor3.v\n",
|
|
"module xor3(\n",
|
|
" input wire a,\n",
|
|
" input wire b,\n",
|
|
" input wire c,\n",
|
|
" output wire out\n",
|
|
");\n",
|
|
" assign out = a ^ b ^ c;\n",
|
|
"endmodule"
|
|
]
|
|
},
|
|
{
|
|
"cell_type": "markdown",
|
|
"metadata": {
|
|
"id": "hp8h5vH8TUXr"
|
|
},
|
|
"source": [
|
|
"### Configuration file (JSON)\n",
|
|
"\n",
|
|
"A configuration file should be provided. It describes constraints and strategies applied during synthesis and implementation of the circuit."
|
|
]
|
|
},
|
|
{
|
|
"cell_type": "code",
|
|
"execution_count": 4,
|
|
"metadata": {
|
|
"id": "rbT-vP0h0enK"
|
|
},
|
|
"outputs": [
|
|
{
|
|
"name": "stdout",
|
|
"output_type": "stream",
|
|
"text": [
|
|
"Overwriting build/config.json\n"
|
|
]
|
|
}
|
|
],
|
|
"source": [
|
|
"%%writefile build/config.json\n",
|
|
"{\n",
|
|
" \"DESIGN_NAME\": \"xor3\",\n",
|
|
" \"VERILOG_FILES\": \"dir::../v/xor3.v\",\n",
|
|
" \"CLOCK_TREE_SYNTH\": false,\n",
|
|
" \"CLOCK_PORT\": null,\n",
|
|
" \"FP_SIZING\": \"absolute\",\n",
|
|
" \"DIE_AREA\": \"0 0 35 45\",\n",
|
|
" \"FP_PDN_AUTO_ADJUST\": false,\n",
|
|
" \"FP_PDN_VOFFSET\": 0,\n",
|
|
" \"FP_PDN_HOFFSET\": 0,\n",
|
|
" \"DIODE_INSERTION_STRATEGY\": 3\n",
|
|
"}"
|
|
]
|
|
},
|
|
{
|
|
"cell_type": "markdown",
|
|
"metadata": {},
|
|
"source": [
|
|
"## Workflow\n",
|
|
"The provided `flow.tcl` is a script describing the OpenROAD workflow. A _GDS_ file will be generated using the RTL circuit description, the PDK and the configuration file."
|
|
]
|
|
},
|
|
{
|
|
"cell_type": "code",
|
|
"execution_count": 5,
|
|
"metadata": {
|
|
"colab": {
|
|
"base_uri": "https://localhost:8080/"
|
|
},
|
|
"id": "VP60fdObiP15",
|
|
"outputId": "41aa85e4-c663-4778-d448-928dbe474b11"
|
|
},
|
|
"outputs": [
|
|
{
|
|
"name": "stdout",
|
|
"output_type": "stream",
|
|
"text": [
|
|
"env: PDK=sky130A\n",
|
|
"OpenLane 2023.04.07_0_gcb634fd5-conda\n",
|
|
"All rights reserved. (c) 2020-2022 Efabless Corporation and contributors.\n",
|
|
"Available under the Apache License, version 2.0. See the LICENSE file for more details.\n",
|
|
"\n",
|
|
"\u001b[36m[INFO]: Using configuration in 'build/config.json'...\u001b[39m\n",
|
|
"\u001b[36m[INFO]: PDK Root: /home/pierre/anaconda3/envs/semicustom/share/pdk\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Process Design Kit: sky130A\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Standard Cell Library: sky130_fd_sc_hd\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Optimization Standard Cell Library: sky130_fd_sc_hd\u001b[39m\n",
|
|
"\u001b[33m[WARNING]: DIODE_INSERTION_STRATEGY is now deprecated; use GRT_REPAIR_ANTENNAS, DIODE_ON_PORTS and RUN_HEURISTIC_DIODE_INSERTION instead.\u001b[39m\n",
|
|
"\u001b[36m[INFO]: DIODE_INSERTION_STRATEGY set to 3. Setting GRT_REPAIR_ANTENNAS to 1\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Run Directory: /home/pierre/Bureau/freechips/semicustom/build/runs/RUN_2023.05.03_00.24.56\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Preparing LEF files for the nom corner...\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Preparing LEF files for the min corner...\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Preparing LEF files for the max corner...\u001b[39m\n",
|
|
"[STEP 1]\n",
|
|
"\u001b[36m[INFO]: Running Synthesis (log: build/runs/RUN_2023.05.03_00.24.56/logs/synthesis/1-synthesis.log)...\u001b[39m\n",
|
|
"[STEP 2]\n",
|
|
"\u001b[36m[INFO]: Running Single-Corner Static Timing Analysis (log: build/runs/RUN_2023.05.03_00.24.56/logs/synthesis/2-sta.log)...\u001b[39m\n",
|
|
"[STEP 3]\n",
|
|
"\u001b[36m[INFO]: Running Initial Floorplanning (log: build/runs/RUN_2023.05.03_00.24.56/logs/floorplan/3-initial_fp.log)...\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Floorplanned with width 23.92 and height 21.76.\u001b[39m\n",
|
|
"[STEP 4]\n",
|
|
"\u001b[36m[INFO]: Running IO Placement...\u001b[39m\n",
|
|
"[STEP 5]\n",
|
|
"\u001b[36m[INFO]: Running Tap/Decap Insertion (log: build/runs/RUN_2023.05.03_00.24.56/logs/floorplan/5-tap.log)...\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Power planning with power {VPWR} and ground {VGND}...\u001b[39m\n",
|
|
"[STEP 6]\n",
|
|
"\u001b[36m[INFO]: Generating PDN (log: build/runs/RUN_2023.05.03_00.24.56/logs/floorplan/6-pdn.log)...\u001b[39m\n",
|
|
"[STEP 7]\n",
|
|
"\u001b[36m[INFO]: Running Global Placement (log: build/runs/RUN_2023.05.03_00.24.56/logs/placement/7-global.log)...\u001b[39m\n",
|
|
"[STEP 8]\n",
|
|
"\u001b[36m[INFO]: Running Single-Corner Static Timing Analysis (log: build/runs/RUN_2023.05.03_00.24.56/logs/placement/8-sta-global.log)...\u001b[39m\n",
|
|
"[STEP 9]\n",
|
|
"\u001b[36m[INFO]: Running Placement Resizer Design Optimizations (log: build/runs/RUN_2023.05.03_00.24.56/logs/placement/9-resizer.log)...\u001b[39m\n",
|
|
"[STEP 10]\n",
|
|
"\u001b[36m[INFO]: Running Detailed Placement (log: build/runs/RUN_2023.05.03_00.24.56/logs/placement/10-detailed.log)...\u001b[39m\n",
|
|
"[STEP 11]\n",
|
|
"\u001b[36m[INFO]: Running Single-Corner Static Timing Analysis (log: build/runs/RUN_2023.05.03_00.24.56/logs/placement/11-sta.log)...\u001b[39m\n",
|
|
"[STEP 12]\n",
|
|
"\u001b[36m[INFO]: Running Placement Resizer Timing Optimizations (log: build/runs/RUN_2023.05.03_00.24.56/logs/cts/12-resizer.log)...\u001b[39m\n",
|
|
"[STEP 13]\n",
|
|
"\u001b[36m[INFO]: Running Global Routing Resizer Design Optimizations (log: build/runs/RUN_2023.05.03_00.24.56/logs/routing/13-resizer_design.log)...\u001b[39m\n",
|
|
"[STEP 14]\n",
|
|
"\u001b[36m[INFO]: Running Single-Corner Static Timing Analysis (log: build/runs/RUN_2023.05.03_00.24.56/logs/routing/14-sta-resizer_design.log)...\u001b[39m\n",
|
|
"[STEP 15]\n",
|
|
"\u001b[36m[INFO]: Running Global Routing Resizer Timing Optimizations (log: build/runs/RUN_2023.05.03_00.24.56/logs/routing/15-resizer_timing.log)...\u001b[39m\n",
|
|
"[STEP 16]\n",
|
|
"\u001b[36m[INFO]: Running Single-Corner Static Timing Analysis (log: build/runs/RUN_2023.05.03_00.24.56/logs/routing/16-sta-resizer_timing.log)...\u001b[39m\n",
|
|
"[STEP 17]\n",
|
|
"\u001b[36m[INFO]: Running Global Routing (log: build/runs/RUN_2023.05.03_00.24.56/logs/routing/17-global.log)...\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Starting OpenROAD Antenna Repair Iterations...\u001b[39m\n",
|
|
"[STEP 18]\n",
|
|
"\u001b[36m[INFO]: Writing Verilog (log: build/runs/RUN_2023.05.03_00.24.56/logs/routing/17-global_write_netlist.log)...\u001b[39m\n",
|
|
"[STEP 19]\n",
|
|
"\u001b[36m[INFO]: Running Single-Corner Static Timing Analysis (log: build/runs/RUN_2023.05.03_00.24.56/logs/routing/19-sta-groute.log)...\u001b[39m\n",
|
|
"[STEP 20]\n",
|
|
"\u001b[36m[INFO]: Running Fill Insertion (log: build/runs/RUN_2023.05.03_00.24.56/logs/routing/20-fill.log)...\u001b[39m\n",
|
|
"[STEP 21]\n",
|
|
"\u001b[36m[INFO]: Running Detailed Routing (log: build/runs/RUN_2023.05.03_00.24.56/logs/routing/21-detailed.log)...\u001b[39m\n",
|
|
"\u001b[36m[INFO]: No DRC violations after detailed routing.\u001b[39m\n",
|
|
"[STEP 22]\n",
|
|
"\u001b[36m[INFO]: Checking Wire Lengths (log: build/runs/RUN_2023.05.03_00.24.56/logs/routing/22-wire_lengths.log)...\u001b[39m\n",
|
|
"[STEP 23]\n",
|
|
"\u001b[36m[INFO]: Running SPEF Extraction at the min process corner (log: build/runs/RUN_2023.05.03_00.24.56/logs/signoff/23-parasitics_extraction.min.log)...\u001b[39m\n",
|
|
"[STEP 24]\n",
|
|
"\u001b[36m[INFO]: Running Multi-Corner Static Timing Analysis at the min process corner (log: build/runs/RUN_2023.05.03_00.24.56/logs/signoff/24-rcx_mcsta.min.log)...\u001b[39m\n",
|
|
"[STEP 25]\n",
|
|
"\u001b[36m[INFO]: Running SPEF Extraction at the max process corner (log: build/runs/RUN_2023.05.03_00.24.56/logs/signoff/25-parasitics_extraction.max.log)...\u001b[39m\n",
|
|
"[STEP 26]\n",
|
|
"\u001b[36m[INFO]: Running Multi-Corner Static Timing Analysis at the max process corner (log: build/runs/RUN_2023.05.03_00.24.56/logs/signoff/26-rcx_mcsta.max.log)...\u001b[39m\n",
|
|
"[STEP 27]\n",
|
|
"\u001b[36m[INFO]: Running SPEF Extraction at the nom process corner (log: build/runs/RUN_2023.05.03_00.24.56/logs/signoff/27-parasitics_extraction.nom.log)...\u001b[39m\n",
|
|
"[STEP 28]\n",
|
|
"\u001b[36m[INFO]: Running Multi-Corner Static Timing Analysis at the nom process corner (log: build/runs/RUN_2023.05.03_00.24.56/logs/signoff/28-rcx_mcsta.nom.log)...\u001b[39m\n",
|
|
"[STEP 29]\n",
|
|
"\u001b[36m[INFO]: Running Single-Corner Static Timing Analysis at the nom process corner (log: build/runs/RUN_2023.05.03_00.24.56/logs/signoff/29-rcx_sta.log)...\u001b[39m\n",
|
|
"\u001b[33m[WARNING]: Module sky130_fd_sc_hd__tapvpwrvgnd_1 blackboxed during sta\u001b[39m\n",
|
|
"\u001b[33m[WARNING]: Module sky130_ef_sc_hd__decap_12 blackboxed during sta\u001b[39m\n",
|
|
"\u001b[33m[WARNING]: Module sky130_fd_sc_hd__fill_2 blackboxed during sta\u001b[39m\n",
|
|
"\u001b[33m[WARNING]: Module sky130_fd_sc_hd__fill_1 blackboxed during sta\u001b[39m\n",
|
|
"[STEP 30]\n",
|
|
"\u001b[36m[INFO]: Creating IR Drop Report (log: build/runs/RUN_2023.05.03_00.24.56/logs/signoff/30-irdrop.log)...\u001b[39m\n",
|
|
"[STEP 31]\n",
|
|
"\u001b[36m[INFO]: Running Magic to generate various views...\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Streaming out GDSII with Magic (log: build/runs/RUN_2023.05.03_00.24.56/logs/signoff/31-gdsii.log)...\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Generating MAGLEF views...\u001b[39m\n",
|
|
"[STEP 32]\n",
|
|
"\u001b[36m[INFO]: Streaming out GDSII with KLayout (log: build/runs/RUN_2023.05.03_00.24.56/logs/signoff/32-gdsii-klayout.log)...\u001b[39m\n",
|
|
"[STEP 33]\n",
|
|
"\u001b[36m[INFO]: Running XOR on the layouts using KLayout (log: build/runs/RUN_2023.05.03_00.24.56/logs/signoff/33-xor.log)...\u001b[39m\n",
|
|
"\u001b[36m[INFO]: No XOR differences between KLayout and Magic gds.\u001b[39m\n",
|
|
"[STEP 34]\n",
|
|
"\u001b[36m[INFO]: Running Magic Spice Export from LEF (log: build/runs/RUN_2023.05.03_00.24.56/logs/signoff/34-spice.log)...\u001b[39m\n",
|
|
"[STEP 35]\n",
|
|
"\u001b[36m[INFO]: Writing Powered Verilog (logs: build/runs/RUN_2023.05.03_00.24.56/logs/signoff/35-write_powered_def.log, build/runs/RUN_2023.05.03_00.24.56/logs/signoff/35-write_powered_verilog.log)...\u001b[39m\n",
|
|
"[STEP 36]\n",
|
|
"\u001b[36m[INFO]: Writing Verilog (log: build/runs/RUN_2023.05.03_00.24.56/logs/signoff/35-write_powered_verilog.log)...\u001b[39m\n",
|
|
"[STEP 37]\n",
|
|
"\u001b[36m[INFO]: Running LVS (log: build/runs/RUN_2023.05.03_00.24.56/logs/signoff/37-lvs.lef.log)...\u001b[39m\n",
|
|
"[STEP 38]\n",
|
|
"\u001b[36m[INFO]: Running Magic DRC (log: build/runs/RUN_2023.05.03_00.24.56/logs/signoff/38-drc.log)...\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Converting Magic DRC database to various tool-readable formats...\u001b[39m\n",
|
|
"\u001b[36m[INFO]: No DRC violations after GDS streaming out.\u001b[39m\n",
|
|
"[STEP 39]\n",
|
|
"\u001b[36m[INFO]: Running OpenROAD Antenna Rule Checker (log: build/runs/RUN_2023.05.03_00.24.56/logs/signoff/39-antenna.log)...\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Saving current set of views in 'build/runs/RUN_2023.05.03_00.24.56/results/final'...\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Saving runtime environment...\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Generating final set of reports...\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Created manufacturability report at 'build/runs/RUN_2023.05.03_00.24.56/reports/manufacturability.rpt'.\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Created metrics report at 'build/runs/RUN_2023.05.03_00.24.56/reports/metrics.csv'.\u001b[39m\n",
|
|
"\u001b[36m[INFO]: There are no max slew, max fanout or max capacitance violations in the design at the typical corner.\u001b[39m\n",
|
|
"\u001b[36m[INFO]: There are no hold violations in the design at the typical corner.\u001b[39m\n",
|
|
"\u001b[36m[INFO]: There are no setup violations in the design at the typical corner.\u001b[39m\n",
|
|
"\u001b[32m[SUCCESS]: Flow complete.\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Note that the following warnings have been generated:\u001b[39m\n",
|
|
"[WARNING]: Module sky130_fd_sc_hd__tapvpwrvgnd_1 blackboxed during sta\n",
|
|
"[WARNING]: Module sky130_ef_sc_hd__decap_12 blackboxed during sta\n",
|
|
"[WARNING]: Module sky130_fd_sc_hd__fill_2 blackboxed during sta\n",
|
|
"[WARNING]: Module sky130_fd_sc_hd__fill_1 blackboxed during sta\n",
|
|
"\n"
|
|
]
|
|
}
|
|
],
|
|
"source": [
|
|
"%env PDK=sky130A\n",
|
|
"!flow.tcl -design build"
|
|
]
|
|
},
|
|
{
|
|
"cell_type": "markdown",
|
|
"metadata": {
|
|
"id": "luguFgZ43AeL"
|
|
},
|
|
"source": [
|
|
"## Output products\n",
|
|
"\n",
|
|
"### Display layout\n",
|
|
"\n",
|
|
"The implemented layout can be retrieved as follows:"
|
|
]
|
|
},
|
|
{
|
|
"cell_type": "code",
|
|
"execution_count": 12,
|
|
"metadata": {
|
|
"colab": {
|
|
"base_uri": "https://localhost:8080/",
|
|
"height": 650
|
|
},
|
|
"id": "WOnhdtp3ivRi",
|
|
"outputId": "b4bd26f8-d3da-47b7-e321-99272b0591ef",
|
|
"scrolled": false
|
|
},
|
|
"outputs": [
|
|
{
|
|
"data": {
|
|
"image/svg+xml": [
|
|
"<svg height=\"550\" viewBox=\"-25 -525 450 550\" width=\"450\" xmlns=\"http://www.w3.org/2000/svg\" xmlns:xlink=\"http://www.w3.org/1999/xlink\">\n",
|
|
"<defs>\n",
|
|
"<style type=\"text/css\">\n",
|
|
".l65d44 {stroke: #2B3D26; fill: #2B3D26; fill-opacity: 0.5;}\n",
|
|
".l235d4 {stroke: #0067A5; fill: #0067A5; fill-opacity: 0.5;}\n",
|
|
".l67d44 {stroke: #875692; fill: #875692; fill-opacity: 0.5;}\n",
|
|
".l69d44 {stroke: #A1CAF1; fill: #A1CAF1; fill-opacity: 0.5;}\n",
|
|
".l122d16 {stroke: #F6A600; fill: #F6A600; fill-opacity: 0.5;}\n",
|
|
".l93d44 {stroke: #008856; fill: #008856; fill-opacity: 0.5;}\n",
|
|
".l78d44 {stroke: #F6A600; fill: #F6A600; fill-opacity: 0.5;}\n",
|
|
".l236d0 {stroke: #E25822; fill: #E25822; fill-opacity: 0.5;}\n",
|
|
".l66d44 {stroke: #F3C300; fill: #F3C300; fill-opacity: 0.5;}\n",
|
|
".l68d44 {stroke: #F38400; fill: #F38400; fill-opacity: 0.5;}\n",
|
|
".l95d20 {stroke: #654522; fill: #654522; fill-opacity: 0.5;}\n",
|
|
".l70d44 {stroke: #BE0032; fill: #BE0032; fill-opacity: 0.5;}\n",
|
|
".l69d16 {stroke: #2B3D26; fill: #2B3D26; fill-opacity: 0.5;}\n",
|
|
".l68d16 {stroke: #E25822; fill: #E25822; fill-opacity: 0.5;}\n",
|
|
".l67d20 {stroke: #0067A5; fill: #0067A5; fill-opacity: 0.5;}\n",
|
|
".l71d16 {stroke: #875692; fill: #875692; fill-opacity: 0.5;}\n",
|
|
".l69d20 {stroke: #604E97; fill: #604E97; fill-opacity: 0.5;}\n",
|
|
".l68d20 {stroke: #F99379; fill: #F99379; fill-opacity: 0.5;}\n",
|
|
".l71d20 {stroke: #B3446C; fill: #B3446C; fill-opacity: 0.5;}\n",
|
|
".l70d20 {stroke: #F6A600; fill: #F6A600; fill-opacity: 0.5;}\n",
|
|
".l70d16 {stroke: #F3C300; fill: #F3C300; fill-opacity: 0.5;}\n",
|
|
".l94d20 {stroke: #8DB600; fill: #8DB600; fill-opacity: 0.5;}\n",
|
|
".l64d20 {stroke: #848482; fill: #848482; fill-opacity: 0.5;}\n",
|
|
".l81d4 {stroke: #882D17; fill: #882D17; fill-opacity: 0.5;}\n",
|
|
".l64d16 {stroke: #DCD300; fill: #DCD300; fill-opacity: 0.5;}\n",
|
|
".l66d20 {stroke: #E68FAC; fill: #E68FAC; fill-opacity: 0.5;}\n",
|
|
".l65d20 {stroke: #008856; fill: #008856; fill-opacity: 0.5;}\n",
|
|
".l67d16 {stroke: #654522; fill: #654522; fill-opacity: 0.5;}\n",
|
|
".l64t59 {stroke: none; fill: #B3446C;}\n",
|
|
".l64t5 {stroke: none; fill: #604E97;}\n",
|
|
".l67t5 {stroke: none; fill: #DCD300;}\n",
|
|
".l68t5 {stroke: none; fill: #882D17;}\n",
|
|
".l69t5 {stroke: none; fill: #8DB600;}\n",
|
|
".l70t5 {stroke: none; fill: #654522;}\n",
|
|
".l71t5 {stroke: none; fill: #E25822;}\n",
|
|
".l83t44 {stroke: none; fill: #654522;}\n",
|
|
"</style>\n",
|
|
"<g id=\"sky130_fd_sc_hd__tapvpwrvgnd_1\">\n",
|
|
"<polygon class=\"l68d16\" id=\"0x30a5400\" points=\"1.05,-0.9 3.6,-0.9 3.6,1 1.05,1\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x31215e0\" points=\"1.1,26.2 3.75,26.2 3.75,27.65 1.1,27.65\"/>\n",
|
|
"<polygon class=\"l94d20\" id=\"0x31216b0\" points=\"0,1.9 4.6,1.9 4.6,9.75 0,9.75\"/>\n",
|
|
"<polygon class=\"l94d20\" id=\"0x3139fe0\" points=\"0,25.3 4.6,25.3 4.6,29.1 0,29.1\"/>\n",
|
|
"<polygon class=\"l93d44\" id=\"0x313a0b0\" points=\"0,-1.9 4.6,-1.9 4.6,1.9 0,1.9\"/>\n",
|
|
"<polygon class=\"l93d44\" id=\"0x3153500\" points=\"0,13.95 4.6,13.95 4.6,25.3 0,25.3\"/>\n",
|
|
"<polygon class=\"l64d20\" id=\"0x31535d0\" points=\"-1.9,13.05 6.5,13.05 6.5,29.1 -1.9,29.1\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x325ceb0\" points=\"1.45,5.55 3.15,5.55 3.15,7.25 1.45,7.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x325cf80\" points=\"1.45,16.45 3.15,16.45 3.15,18.15 1.45,18.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3265850\" points=\"1.45,21.1 3.15,21.1 3.15,22.8 1.45,22.8\"/>\n",
|
|
"<polygon class=\"l65d44\" id=\"0x325cff0\" points=\"1.45,3.2 3.15,3.2 3.15,8.45 1.45,8.45\"/>\n",
|
|
"<polygon class=\"l65d44\" id=\"0x32354a0\" points=\"1.45,15.25 3.15,15.25 3.15,24 1.45,24\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x3235570\" points=\"0,-2.4 4.6,-2.4 4.6,2.4 0,2.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x335b080\" points=\"0,24.8 4.6,24.8 4.6,29.6 0,29.6\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x335b150\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3363f20\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3363ff0\" points=\"0.85,0 3.75,0 3.75,8.1 0.85,8.1\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x33adc20\" points=\"0.85,14.7 3.75,14.7 3.75,27.2 0.85,27.2\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x33d66e0\" points=\"0,26.35 4.6,26.35 4.6,28.05 0,28.05\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x33d67b0\" points=\"0,-0.85 4.6,-0.85 4.6,0.85 0,0.85\"/>\n",
|
|
"<polygon class=\"l78d44\" id=\"0x343b880\" points=\"0,12.5 4.6,12.5 4.6,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l81d4\" id=\"0x343b950\" points=\"0,0 4.6,0 4.6,27.2 0,27.2\"/>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x342dc70\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPWR</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x342dd00\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VGND</text>\n",
|
|
"<text class=\"l83t44\" dominant-baseline=\"text-before-edge\" id=\"0x342f500\" text-anchor=\"start\" transform=\"translate(0 0) rotate(90) scale(0.1) scale(1 -1)\">tapvpwrvgnd_1</text>\n",
|
|
"</g>\n",
|
|
"<g id=\"sky130_fd_sc_hd__a21oi_1\">\n",
|
|
"<polygon class=\"l236d0\" id=\"0x34669a0\" points=\"0,0 18.4,0 18.4,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x3466a70\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x3466b40\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l64d16\" id=\"0x3466c10\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l122d16\" id=\"0x3466ce0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3466db0\" points=\"14.45,9.95 17.4,9.95 17.4,13.25 14.45,13.25\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3466e80\" points=\"18.4,28.05 0,28.05 0,26.35 10.4,26.35 10.4,21.95 12.35,21.95 12.35,26.35 18.4,26.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3466f90\" points=\"18.4,0.85 17.45,0.85 17.45,8.15 14.45,8.15 14.45,0.85 4.4,0.85 4.4,4.75 1.1,4.75 1.1,0.85 0,0.85 0,-0.85 18.4,-0.85\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34670e0\" points=\"12.65,13.25 8.5,13.25 8.5,9.95 10.35,9.95 10.35,3.75 12.65,3.75\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3467260\" points=\"0.95,6.75 3.35,6.75 3.35,13.25 0.95,13.25\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3467330\" points=\"17.45,24.55 14.15,24.55 14.15,20.25 8.7,20.25 8.7,24.55 5.4,24.55 5.4,18.55 8.5,18.55 8.5,15.25 17.45,15.25\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3467460\" points=\"8.35,8.25 6.8,8.25 6.8,16.85 3.7,16.85 3.7,24.55 0.95,24.55 0.95,14.95 5.05,14.95 5.05,6.45 6.1,6.45 6.1,2.65 8.35,2.65\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34675b0\" points=\"5.65,26.15 4.15,26.15 4.15,13.25 1.05,13.25 1.05,9.95 4.15,9.95 4.15,1.05 5.65,1.05\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34676c0\" points=\"10.8,13.25 9.95,13.25 9.95,26.15 8.45,26.15 8.45,13.25 8.1,13.25 8.1,9.95 8.45,9.95 8.45,1.05 9.95,1.05 9.95,9.95 10.8,9.95\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x3467810\" points=\"17.1,13.25 14.4,13.25 14.4,26.15 12.9,26.15 12.9,1.05 14.4,1.05 14.4,9.95 17.1,9.95\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x348a970\" points=\"1.5,14.85 17.05,14.85 17.05,24.85 1.5,24.85\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x348a9e0\" points=\"1.5,2.35 17.05,2.35 17.05,8.85 1.5,8.85\"/>\n",
|
|
"<polygon class=\"l95d20\" id=\"0x348b5f0\" points=\"0,9.75 18.4,9.75 18.4,13.45 0,13.45\"/>\n",
|
|
"<polygon class=\"l78d44\" id=\"0x348b6c0\" points=\"0,12.5 18.4,12.5 18.4,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x348b790\" points=\"14.95,4.45 16.65,4.45 16.65,6.15 14.95,6.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x348b860\" points=\"14.95,15.65 16.65,15.65 16.65,17.35 14.95,17.35\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x348b930\" points=\"14.95,19.05 16.65,19.05 16.65,20.75 14.95,20.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x348ba00\" points=\"14.95,22.45 16.65,22.45 16.65,24.15 14.95,24.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x348bad0\" points=\"14.9,10.75 16.6,10.75 16.6,12.45 14.9,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x348bba0\" points=\"10.5,22.75 12.2,22.75 12.2,24.45 10.5,24.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x348bc70\" points=\"8.6,10.75 10.3,10.75 10.3,12.45 8.6,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3467b70\" points=\"6.2,4.65 7.9,4.65 7.9,6.35 6.2,6.35\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3467be0\" points=\"6.2,18.65 7.9,18.65 7.9,20.35 6.2,20.35\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3467cb0\" points=\"6.2,22.05 7.9,22.05 7.9,23.75 6.2,23.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3467d80\" points=\"1.9,2.95 3.6,2.95 3.6,4.65 1.9,4.65\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3467e50\" points=\"1.9,17.25 3.6,17.25 3.6,18.95 1.9,18.95\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3467f20\" points=\"1.9,20.95 3.6,20.95 3.6,22.65 1.9,22.65\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3467ff0\" points=\"1.55,10.75 3.25,10.75 3.25,12.45 1.55,12.45\"/>\n",
|
|
"<polygon class=\"l94d20\" id=\"0x348aab0\" points=\"0,13.55 18.4,13.55 18.4,29.1 0,29.1\"/>\n",
|
|
"<polygon class=\"l93d44\" id=\"0x34682d0\" points=\"0,-1.9 18.4,-1.9 18.4,10.15 0,10.15\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x34683a0\" points=\"15.25,11.05 16.95,11.05 16.95,12.75 15.25,12.75\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3468470\" points=\"10.65,4.25 12.35,4.25 12.35,5.95 10.65,5.95\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3468540\" points=\"10.65,7.65 12.35,7.65 12.35,9.35 10.65,9.35\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3468610\" points=\"10.65,11.05 12.35,11.05 12.35,12.75 10.65,12.75\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x34686e0\" points=\"1.45,7.65 3.15,7.65 3.15,9.35 1.45,9.35\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x34687b0\" points=\"1.45,11.05 3.15,11.05 3.15,12.75 1.45,12.75\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3468880\" points=\"1.45,17.85 3.15,17.85 3.15,19.55 1.45,19.55\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3468950\" points=\"1.45,21.25 3.15,21.25 3.15,22.95 1.45,22.95\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3468a20\" points=\"15.25,-0.85 16.95,-0.85 16.95,0.85 15.25,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3468af0\" points=\"15.25,26.35 16.95,26.35 16.95,28.05 15.25,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3468bc0\" points=\"10.65,-0.85 12.35,-0.85 12.35,0.85 10.65,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3468c90\" points=\"10.65,26.35 12.35,26.35 12.35,28.05 10.65,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3468d60\" points=\"6.05,-0.85 7.75,-0.85 7.75,0.85 6.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3468e30\" points=\"6.05,26.35 7.75,26.35 7.75,28.05 6.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3468f00\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3468fd0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l64d20\" id=\"0x346db70\" points=\"-1.9,13.05 20.3,13.05 20.3,29.1 -1.9,29.1\"/>\n",
|
|
"<polygon class=\"l81d4\" id=\"0x346dc40\" points=\"0,0 18.4,0 18.4,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,2.4 0,-2.4 18.4,-2.4 18.4,2.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,29.6 0,24.8 18.4,24.8 18.4,29.6\"/>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x348ab60\" text-anchor=\"middle\" transform=\"translate(11.5 11.9) scale(0.1) scale(1 -1)\">A1</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x348abf0\" text-anchor=\"middle\" transform=\"translate(2.3 8.5) scale(0.1) scale(1 -1)\">B1</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x348ac80\" text-anchor=\"middle\" transform=\"translate(11.5 8.5) scale(0.1) scale(1 -1)\">A1</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x348ad10\" text-anchor=\"middle\" transform=\"translate(11.5 5.1) scale(0.1) scale(1 -1)\">A1</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x348ada0\" text-anchor=\"middle\" transform=\"translate(2.3 22.1) scale(0.1) scale(1 -1)\">Y</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x348ae30\" text-anchor=\"middle\" transform=\"translate(2.3 11.9) scale(0.1) scale(1 -1)\">B1</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x348aee0\" text-anchor=\"middle\" transform=\"translate(2.3 18.7) scale(0.1) scale(1 -1)\">Y</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x348af90\" text-anchor=\"middle\" transform=\"translate(16.1 11.9) scale(0.1) scale(1 -1)\">A2</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x348b040\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPWR</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x348b180\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VGND</text>\n",
|
|
"<text class=\"l64t5\" dominant-baseline=\"central\" id=\"0x348b4b0\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPB</text>\n",
|
|
"<text class=\"l64t59\" dominant-baseline=\"central\" id=\"0x348b540\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VNB</text>\n",
|
|
"<text class=\"l83t44\" dominant-baseline=\"text-before-edge\" id=\"0x346dd10\" text-anchor=\"start\" transform=\"translate(0 0) rotate(90) scale(0.1) scale(1 -1)\">a21oi_1</text>\n",
|
|
"</g>\n",
|
|
"<g id=\"sky130_fd_sc_hd__nor2_1\">\n",
|
|
"<polygon class=\"l236d0\" id=\"0x34800d0\" points=\"0,0 13.8,0 13.8,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l64d16\" id=\"0x34801a0\" points=\"1.5,26.35 3.2,26.35 3.2,28.05 1.5,28.05\"/>\n",
|
|
"<polygon class=\"l122d16\" id=\"0x3480270\" points=\"1.5,-0.85 3.2,-0.85 3.2,0.85 1.5,0.85\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x3480340\" points=\"1.5,-0.85 3.2,-0.85 3.2,0.85 1.5,0.85\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x3480410\" points=\"1.5,26.35 3.2,26.35 3.2,28.05 1.5,28.05\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x3480530\" points=\"1.35,14.85 11.65,14.85 11.65,24.85 1.35,24.85\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x3480600\" points=\"1.35,2.35 12.25,2.35 12.25,8.85 1.35,8.85\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3480f10\" points=\"10.65,11.05 12.35,11.05 12.35,12.75 10.65,12.75\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3480fe0\" points=\"1.45,11.05 3.15,11.05 3.15,12.75 1.45,12.75\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3481140\" points=\"1.5,21.25 3.2,21.25 3.2,22.95 1.5,22.95\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3481210\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34812e0\" points=\"10.65,26.35 12.35,26.35 12.35,28.05 10.65,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34813b0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3481480\" points=\"10.65,-0.85 12.35,-0.85 12.35,0.85 10.65,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3483480\" points=\"6.05,26.35 7.75,26.35 7.75,28.05 6.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3483550\" points=\"6.05,-0.85 7.75,-0.85 7.75,0.85 6.05,0.85\"/>\n",
|
|
"<polygon class=\"l81d4\" id=\"0x3483620\" points=\"0,0 13.8,0 13.8,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l94d20\" id=\"0x34837a0\" points=\"0,13.55 13.8,13.55 13.8,29.1 0,29.1\"/>\n",
|
|
"<polygon class=\"l64d20\" id=\"0x3483870\" points=\"-1.9,13.05 15.7,13.05 15.7,29.1 -1.9,29.1\"/>\n",
|
|
"<polygon class=\"l93d44\" id=\"0x3483940\" points=\"0,-1.9 13.8,-1.9 13.8,10.15 0,10.15\"/>\n",
|
|
"<polygon class=\"l78d44\" id=\"0x3483a10\" points=\"0,12.5 13.8,12.5 13.8,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l95d20\" id=\"0x3483ae0\" points=\"0,9.75 13.8,9.75 13.8,13.45 0,13.45\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3483bb0\" points=\"13.8,0.85 12.85,0.85 12.85,8.95 10.15,8.95 10.15,0.85 3.45,0.85 3.45,8.95 1.05,8.95 1.05,0.85 0,0.85 0,-0.85 13.8,-0.85\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3483d00\" points=\"13.8,28.05 0,28.05 0,26.35 9.55,26.35 9.55,14.95 12.85,14.95 12.85,26.35 13.8,26.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3483e10\" points=\"0.85,10.75 4.35,10.75 4.35,13.25 0.85,13.25\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3483ee0\" points=\"8.45,8.95 7.75,8.95 7.75,16.65 4.25,16.65 4.25,24.5 0.95,24.5 0.95,14.95 6.05,14.95 6.05,8.95 5.15,8.95 5.15,2.55 8.45,2.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3484030\" points=\"9.45,10.75 12.95,10.75 12.95,13.25 9.45,13.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3484100\" points=\"1.75,19.15 3.45,19.15 3.45,20.85 1.75,20.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34841d0\" points=\"1.75,15.75 3.45,15.75 3.45,17.45 1.75,17.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34842a0\" points=\"1.75,6.45 3.45,6.45 3.45,8.15 1.75,8.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3484370\" points=\"1.75,3.05 3.45,3.05 3.45,4.75 1.75,4.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3484440\" points=\"1.85,10.75 3.55,10.75 3.55,12.45 1.85,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3484510\" points=\"5.95,6.45 7.65,6.45 7.65,8.15 5.95,8.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34836f0\" points=\"5.95,3.05 7.65,3.05 7.65,4.75 5.95,4.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34847f0\" points=\"9.55,22.55 11.25,22.55 11.25,24.25 9.55,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34848c0\" points=\"9.55,19.15 11.25,19.15 11.25,20.85 9.55,20.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3484990\" points=\"9.55,15.75 11.25,15.75 11.25,17.45 9.55,17.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3484a60\" points=\"10.15,6.45 11.85,6.45 11.85,8.15 10.15,8.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3484b30\" points=\"1.75,22.55 3.45,22.55 3.45,24.25 1.75,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3484c00\" points=\"10.25,10.75 11.95,10.75 11.95,12.45 10.25,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3484cd0\" points=\"10.15,3.05 11.85,3.05 11.85,4.75 10.15,4.75\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34850c0\" points=\"12.75,13.25 9.05,13.25 9.05,26.15 7.55,26.15 7.55,11.75 8.15,11.75 8.15,1.05 9.65,1.05 9.65,9.95 12.75,9.95\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34851f0\" points=\"5.45,26.15 3.95,26.15 3.95,13.25 1.1,13.25 1.1,9.95 3.95,9.95 3.95,1.05 5.45,1.05\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,29.6 0,24.8 13.8,24.8 13.8,29.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,2.4 0,-2.4 13.8,-2.4 13.8,2.4\"/>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x34806d0\" text-anchor=\"middle\" transform=\"translate(2.3 11.9) scale(0.1) scale(1 -1)\">B</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3480780\" text-anchor=\"middle\" transform=\"translate(2.35 22.1) scale(0.1) scale(1 -1)\">Y</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3480830\" text-anchor=\"middle\" transform=\"translate(11.5 11.9) scale(0.1) scale(1 -1)\">A</text>\n",
|
|
"<text class=\"l64t5\" dominant-baseline=\"central\" id=\"0x34808e0\" text-anchor=\"middle\" transform=\"translate(2.35 27.2) scale(0.1) scale(1 -1)\">VPB</text>\n",
|
|
"<text class=\"l64t59\" dominant-baseline=\"central\" id=\"0x3480990\" text-anchor=\"middle\" transform=\"translate(2.35 0) scale(0.1) scale(1 -1)\">VNB</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x3480a90\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VGND</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x3480b40\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPWR</text>\n",
|
|
"<text class=\"l83t44\" dominant-baseline=\"text-before-edge\" id=\"0x3485300\" text-anchor=\"start\" transform=\"translate(0 0) rotate(90) scale(0.1) scale(1 -1)\">nor2_1</text>\n",
|
|
"</g>\n",
|
|
"<g id=\"sky130_fd_sc_hd__decap_8\">\n",
|
|
"<polygon class=\"l236d0\" id=\"0x34a5d00\" points=\"0,0 36.8,0 36.8,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x34a5dd0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x34a5ea0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l64d16\" id=\"0x34a5f70\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l122d16\" id=\"0x34a6040\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34a6160\" points=\"36.8,0.85 35.95,0.85 35.95,8.55 17.35,8.55 17.35,13.75 0.85,13.75 0.85,0.85 0,0.85 0,-0.85 36.8,-0.85\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34a6290\" points=\"36.8,28.05 0,28.05 0,26.35 0.85,26.35 0.85,15.45 19.05,15.45 19.05,10.25 35.95,10.25 35.95,26.35 36.8,26.35\"/>\n",
|
|
"<polygon class=\"l81d4\" id=\"0x34a6980\" points=\"0,0 36.8,0 36.8,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x34a6a50\" points=\"1.35,16.15 35.45,16.15 35.45,24.85 1.35,24.85\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x34a6bb0\" points=\"1.35,2.35 35.45,2.35 35.45,7.85 1.35,7.85\"/>\n",
|
|
"<polygon class=\"l78d44\" id=\"0x34a6c80\" points=\"0,12.5 36.8,12.5 36.8,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l93d44\" id=\"0x34a6d50\" points=\"0,-1.9 36.8,-1.9 36.8,10.15 0,10.15\"/>\n",
|
|
"<polygon class=\"l64d20\" id=\"0x34a6e20\" points=\"-1.9,13.05 38.7,13.05 38.7,29.1 -1.9,29.1\"/>\n",
|
|
"<polygon class=\"l94d20\" id=\"0x34a6ef0\" points=\"0,14.85 36.8,14.85 36.8,29.1 0,29.1\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34a6fc0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34a7090\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34a7160\" points=\"6.05,26.35 7.75,26.35 7.75,28.05 6.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34a76d0\" points=\"6.05,-0.85 7.75,-0.85 7.75,0.85 6.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34a77a0\" points=\"10.65,26.35 12.35,26.35 12.35,28.05 10.65,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34a7870\" points=\"10.65,-0.85 12.35,-0.85 12.35,0.85 10.65,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34a7940\" points=\"15.25,26.35 16.95,26.35 16.95,28.05 15.25,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34a7a10\" points=\"15.25,-0.85 16.95,-0.85 16.95,0.85 15.25,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34a7ae0\" points=\"19.85,26.35 21.55,26.35 21.55,28.05 19.85,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34a7bb0\" points=\"19.85,-0.85 21.55,-0.85 21.55,0.85 19.85,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34a7c80\" points=\"24.45,26.35 26.15,26.35 26.15,28.05 24.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34a7d50\" points=\"24.45,-0.85 26.15,-0.85 26.15,0.85 24.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34a7e20\" points=\"29.05,26.35 30.75,26.35 30.75,28.05 29.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34a7ef0\" points=\"29.05,-0.85 30.75,-0.85 30.75,0.85 29.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34a7fc0\" points=\"33.65,26.35 35.35,26.35 35.35,28.05 33.65,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34a8090\" points=\"33.65,-0.85 35.35,-0.85 35.35,0.85 33.65,0.85\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34a8160\" points=\"32.85,26.15 3.95,26.15 3.95,11.25 17.15,11.25 17.15,14.85 32.85,14.85\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34a8250\" points=\"32.85,12.75 19.25,12.75 19.25,9.15 3.95,9.15 3.95,1.05 32.85,1.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a8340\" points=\"1.75,22.55 3.45,22.55 3.45,24.25 1.75,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a7620\" points=\"1.75,17.45 3.45,17.45 3.45,19.15 1.75,19.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a8620\" points=\"1.75,3.9 3.45,3.9 3.45,5.6 1.75,5.6\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a86f0\" points=\"4.75,12.05 6.45,12.05 6.45,13.75 4.75,13.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a87c0\" points=\"9.7,12.05 11.4,12.05 11.4,13.75 9.7,13.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a8890\" points=\"14.65,12.05 16.35,12.05 16.35,13.75 14.65,13.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a8960\" points=\"33.35,3.9 35.05,3.9 35.05,5.6 33.35,5.6\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a8a30\" points=\"20.05,10.25 21.75,10.25 21.75,11.95 20.05,11.95\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a8b00\" points=\"25.2,10.25 26.9,10.25 26.9,11.95 25.2,11.95\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a8bd0\" points=\"30.35,10.25 32.05,10.25 32.05,11.95 30.35,11.95\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a8ca0\" points=\"33.35,22.55 35.05,22.55 35.05,24.25 33.35,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a8d70\" points=\"33.35,17.45 35.05,17.45 35.05,19.15 33.35,19.15\"/>\n",
|
|
"<polygon class=\"l95d20\" id=\"0x34a8e40\" points=\"36.8,13.45 17.35,13.45 17.35,14.75 0,14.75 0,9.75 19.05,9.75 19.05,9.25 36.8,9.25\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,29.6 0,24.8 36.8,24.8 36.8,29.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,2.4 0,-2.4 36.8,-2.4 36.8,2.4\"/>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x34a63c0\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPWR</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x34a6470\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VGND</text>\n",
|
|
"<text class=\"l64t5\" dominant-baseline=\"central\" id=\"0x34a6840\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPB</text>\n",
|
|
"<text class=\"l64t59\" dominant-baseline=\"central\" id=\"0x34a68d0\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VNB</text>\n",
|
|
"<text class=\"l83t44\" dominant-baseline=\"text-before-edge\" id=\"0x34a8f50\" text-anchor=\"start\" transform=\"translate(0 0) rotate(90) scale(0.1) scale(1 -1)\">decap_8</text>\n",
|
|
"</g>\n",
|
|
"<g id=\"sky130_ef_sc_hd__decap_12\">\n",
|
|
"<polygon class=\"l236d0\" id=\"0x3389410\" points=\"0,0 55.2,0 55.2,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x33aef90\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x340b2d0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l64d16\" id=\"0x34376a0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l122d16\" id=\"0x3446610\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x3244f50\" points=\"1.35,16.15 53.85,16.15 53.85,24.85 1.35,24.85\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x31086b0\" points=\"1.35,2.35 53.85,2.35 53.85,7.85 1.35,7.85\"/>\n",
|
|
"<polygon class=\"l78d44\" id=\"0x31a5490\" points=\"0,12.5 55.2,12.5 55.2,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l93d44\" id=\"0x31bda40\" points=\"0,-1.9 55.2,-1.9 55.2,10.15 0,10.15\"/>\n",
|
|
"<polygon class=\"l64d20\" id=\"0x324abe0\" points=\"-1.9,13.05 57.1,13.05 57.1,29.1 -1.9,29.1\"/>\n",
|
|
"<polygon class=\"l94d20\" id=\"0x306c6f0\" points=\"0,14.85 55.2,14.85 55.2,29.1 0,29.1\"/>\n",
|
|
"<polygon class=\"l81d4\" id=\"0x328de40\" points=\"0,0 55.2,0 55.2,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x32beff0\" points=\"24.45,-0.85 26.15,-0.85 26.15,0.85 24.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3384750\" points=\"29.05,26.35 30.75,26.35 30.75,28.05 29.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x33809f0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x33bee50\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x33ef080\" points=\"6.05,26.35 7.75,26.35 7.75,28.05 6.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x33f7180\" points=\"6.05,-0.85 7.75,-0.85 7.75,0.85 6.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3422790\" points=\"10.65,26.35 12.35,26.35 12.35,28.05 10.65,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3232800\" points=\"10.65,-0.85 12.35,-0.85 12.35,0.85 10.65,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x30e9b60\" points=\"15.25,26.35 16.95,26.35 16.95,28.05 15.25,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x30eed30\" points=\"15.25,-0.85 16.95,-0.85 16.95,0.85 15.25,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x31a1340\" points=\"19.85,26.35 21.55,26.35 21.55,28.05 19.85,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x31f6000\" points=\"19.85,-0.85 21.55,-0.85 21.55,0.85 19.85,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x32eba40\" points=\"24.45,26.35 26.15,26.35 26.15,28.05 24.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x33abab0\" points=\"29.05,-0.85 30.75,-0.85 30.75,0.85 29.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x343b2d0\" points=\"33.65,26.35 35.35,26.35 35.35,28.05 33.65,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3308f70\" points=\"33.65,-0.85 35.35,-0.85 35.35,0.85 33.65,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x30c5e20\" points=\"38.25,26.35 39.95,26.35 39.95,28.05 38.25,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x30ca390\" points=\"38.25,-0.85 39.95,-0.85 39.95,0.85 38.25,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x30a79d0\" points=\"42.85,26.35 44.55,26.35 44.55,28.05 42.85,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3158770\" points=\"42.85,-0.85 44.55,-0.85 44.55,0.85 42.85,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3146d40\" points=\"47.45,26.35 49.15,26.35 49.15,28.05 47.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3193c10\" points=\"47.45,-0.85 49.15,-0.85 49.15,0.85 47.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3240a30\" points=\"52.05,26.35 53.75,26.35 53.75,28.05 52.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3262ae0\" points=\"52.05,-0.85 53.75,-0.85 53.75,0.85 52.05,0.85\"/>\n",
|
|
"<polygon class=\"l95d20\" id=\"0x3189950\" points=\"0,9.25 55.2,9.25 55.2,14.75 0,14.75\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x33b0620\" points=\"51.25,26.15 3.95,26.15 3.95,11.25 26.45,11.25 26.45,14.85 51.25,14.85\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x307d810\" points=\"51.25,12.75 28.55,12.75 28.55,9.15 3.95,9.15 3.95,1.05 51.25,1.05\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x30b6940\" points=\"16.7,6.3 20.1,6.3 20.1,14.6 16.7,14.6\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x30b6100\" points=\"0,26.35 55.2,26.35 55.2,28.05 0,28.05\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x30b6170\" points=\"0.85,22 54.3,22 54.3,26.35 0.85,26.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x30ffed0\" points=\"0,-0.85 55.2,-0.85 55.2,0.85 0,0.85\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3179950\" points=\"34.9,9.5 38.4,9.5 38.4,22 34.9,22\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3199770\" points=\"0.85,0.85 54.3,0.85 54.3,6.3 0.85,6.3\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3209d10\" points=\"1.75,22.55 3.45,22.55 3.45,24.25 1.75,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x32397f0\" points=\"51.75,22.55 53.45,22.55 53.45,24.25 51.75,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x32813a0\" points=\"51.75,3.9 53.45,3.9 53.45,5.6 51.75,5.6\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x329de90\" points=\"35.75,10.25 37.45,10.25 37.45,11.95 35.75,11.95\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x33fdb10\" points=\"1.75,3.9 3.45,3.9 3.45,5.6 1.75,5.6\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3437e10\" points=\"17.55,12.05 19.25,12.05 19.25,13.75 17.55,13.75\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,29.6 0,24.8 55.2,24.8 55.2,29.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,2.4 0,-2.4 55.2,-2.4 55.2,2.4\"/>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x311b300\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VGND</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x311f0b0\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPWR</text>\n",
|
|
"<text class=\"l64t5\" dominant-baseline=\"central\" id=\"0x317cb40\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPB</text>\n",
|
|
"<text class=\"l64t59\" dominant-baseline=\"central\" id=\"0x318d590\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VNB</text>\n",
|
|
"<text class=\"l83t44\" dominant-baseline=\"text-before-edge\" id=\"0x3085510\" text-anchor=\"start\" transform=\"translate(0 0) rotate(90) scale(0.1) scale(1 -1)\">decap_12</text>\n",
|
|
"</g>\n",
|
|
"<g id=\"sky130_fd_sc_hd__fill_2\">\n",
|
|
"<polygon class=\"l122d16\" id=\"0x344cd10\" points=\"1.55,-0.5 3.15,-0.5 3.15,0.6 1.55,0.6\"/>\n",
|
|
"<polygon class=\"l64d16\" id=\"0x344cde0\" points=\"1.4,26.75 3.1,26.75 3.1,27.65 1.4,27.65\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x344ceb0\" points=\"1,-0.7 3.65,-0.7 3.65,0.9 1,0.9\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x344cf80\" points=\"1.05,26.5 3.65,26.5 3.65,28.05 1.05,28.05\"/>\n",
|
|
"<polygon class=\"l78d44\" id=\"0x344d170\" points=\"0,12.5 9.2,12.5 9.2,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l93d44\" id=\"0x3460bf0\" points=\"0,-1.9 9.2,-1.9 9.2,10.15 0,10.15\"/>\n",
|
|
"<polygon class=\"l64d20\" id=\"0x3460c60\" points=\"-1.9,13.05 11.1,13.05 11.1,29.1 -1.9,29.1\"/>\n",
|
|
"<polygon class=\"l94d20\" id=\"0x3460d30\" points=\"0,13.55 9.2,13.55 9.2,29.1 0,29.1\"/>\n",
|
|
"<polygon class=\"l81d4\" id=\"0x3461060\" points=\"0,0 9.2,0 9.2,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3461420\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34614f0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34615c0\" points=\"6.05,-0.85 7.75,-0.85 7.75,0.85 6.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3461690\" points=\"6.05,26.35 7.75,26.35 7.75,28.05 6.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x2c416d0\" points=\"0,0.85 0,-0.85 9.2,-0.85 9.2,0.85\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x2c416d0\" points=\"0,28.05 0,26.35 9.2,26.35 9.2,28.05\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,29.6 0,24.8 9.2,24.8 9.2,29.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,2.4 0,-2.4 9.2,-2.4 9.2,2.4\"/>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x344d050\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VGND</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x344d0e0\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPWR</text>\n",
|
|
"<text class=\"l64t5\" dominant-baseline=\"central\" id=\"0x3461760\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPB</text>\n",
|
|
"<text class=\"l64t59\" dominant-baseline=\"central\" id=\"0x34617f0\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VNB</text>\n",
|
|
"<text class=\"l83t44\" dominant-baseline=\"text-before-edge\" id=\"0x3461880\" text-anchor=\"start\" transform=\"translate(0 0) rotate(90) scale(0.1) scale(1 -1)\">fill_2</text>\n",
|
|
"</g>\n",
|
|
"<g id=\"sky130_fd_sc_hd__decap_6\">\n",
|
|
"<polygon class=\"l236d0\" id=\"0x31e7990\" points=\"0,0 27.6,0 27.6,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x32f09f0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x3390530\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l64d16\" id=\"0x3390600\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l122d16\" id=\"0x33c7280\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x30b45c0\" points=\"1.35,16.15 26.25,16.15 26.25,24.85 1.35,24.85\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x30b4630\" points=\"1.35,2.35 26.25,2.35 26.25,7.85 1.35,7.85\"/>\n",
|
|
"<polygon class=\"l81d4\" id=\"0x3136050\" points=\"0,0 27.6,0 27.6,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l78d44\" id=\"0x30791e0\" points=\"0,12.5 27.6,12.5 27.6,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l93d44\" id=\"0x309fb30\" points=\"0,-1.9 27.6,-1.9 27.6,10.15 0,10.15\"/>\n",
|
|
"<polygon class=\"l64d20\" id=\"0x30c27b0\" points=\"-1.9,13.05 29.5,13.05 29.5,29.1 -1.9,29.1\"/>\n",
|
|
"<polygon class=\"l94d20\" id=\"0x30c2880\" points=\"0,14.85 27.6,14.85 27.6,29.1 0,29.1\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x30e2570\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x30e2640\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x31358a0\" points=\"6.05,26.35 7.75,26.35 7.75,28.05 6.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3135970\" points=\"6.05,-0.85 7.75,-0.85 7.75,0.85 6.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3425b80\" points=\"10.65,26.35 12.35,26.35 12.35,28.05 10.65,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x342bd10\" points=\"10.65,-0.85 12.35,-0.85 12.35,0.85 10.65,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x342bde0\" points=\"15.25,26.35 16.95,26.35 16.95,28.05 15.25,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3135440\" points=\"15.25,-0.85 16.95,-0.85 16.95,0.85 15.25,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3135510\" points=\"19.85,26.35 21.55,26.35 21.55,28.05 19.85,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3180da0\" points=\"19.85,-0.85 21.55,-0.85 21.55,0.85 19.85,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3180e70\" points=\"24.45,26.35 26.15,26.35 26.15,28.05 24.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x324eb50\" points=\"24.45,-0.85 26.15,-0.85 26.15,0.85 24.45,0.85\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x324ec20\" points=\"23.65,26.15 3.95,26.15 3.95,11.25 12.75,11.25 12.75,14.85 23.65,14.85\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x324ec90\" points=\"23.65,12.75 14.85,12.75 14.85,9.15 3.95,9.15 3.95,1.05 23.65,1.05\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3271680\" points=\"27.6,0.85 26.75,0.85 26.75,8.55 12.95,8.55 12.95,13.75 0.85,13.75 0.85,0.85 0,0.85 0,-0.85 27.6,-0.85\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x32716f0\" points=\"27.6,28.05 0,28.05 0,26.35 0.85,26.35 0.85,15.45 14.65,15.45 14.65,10.25 26.75,10.25 26.75,26.35 27.6,26.35\"/>\n",
|
|
"<polygon class=\"l95d20\" id=\"0x3278020\" points=\"27.6,13.45 12.95,13.45 12.95,14.75 0,14.75 0,9.75 14.65,9.75 14.65,9.25 27.6,9.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3278090\" points=\"1.75,22.55 3.45,22.55 3.45,24.25 1.75,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3278160\" points=\"24.15,3.9 25.85,3.9 25.85,5.6 24.15,5.6\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x32b5750\" points=\"24.15,22.55 25.85,22.55 25.85,24.25 24.15,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x32b5820\" points=\"24.15,17.45 25.85,17.45 25.85,19.15 24.15,19.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3425c50\" points=\"1.75,17.45 3.45,17.45 3.45,19.15 1.75,19.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3221820\" points=\"1.75,3.9 3.45,3.9 3.45,5.6 1.75,5.6\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x32218f0\" points=\"4.75,12.05 6.45,12.05 6.45,13.75 4.75,13.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x30c8d70\" points=\"10.25,12.05 11.95,12.05 11.95,13.75 10.25,13.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x30c8e40\" points=\"15.65,10.25 17.35,10.25 17.35,11.95 15.65,11.95\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x342cc10\" points=\"21.15,10.25 22.85,10.25 22.85,11.95 21.15,11.95\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,29.6 0,24.8 27.6,24.8 27.6,29.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,2.4 0,-2.4 27.6,-2.4 27.6,2.4\"/>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x3135f30\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPWR</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x3135fc0\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VGND</text>\n",
|
|
"<text class=\"l64t5\" dominant-baseline=\"central\" id=\"0x30807e0\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPB</text>\n",
|
|
"<text class=\"l64t59\" dominant-baseline=\"central\" id=\"0x3080870\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VNB</text>\n",
|
|
"<text class=\"l83t44\" dominant-baseline=\"text-before-edge\" id=\"0x342cce0\" text-anchor=\"start\" transform=\"translate(0 0) rotate(90) scale(0.1) scale(1 -1)\">decap_6</text>\n",
|
|
"</g>\n",
|
|
"<g id=\"sky130_fd_sc_hd__decap_3\">\n",
|
|
"<polygon class=\"l236d0\" id=\"0x3362c80\" points=\"0,0 13.8,0 13.8,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x3362a00\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x33364f0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l64d16\" id=\"0x33c6f80\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l122d16\" id=\"0x337fff0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x33e4820\" points=\"1.35,2.35 12.45,2.35 12.45,7.85 1.35,7.85\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x31a92e0\" points=\"1.35,16.15 12.45,16.15 12.45,24.85 1.35,24.85\"/>\n",
|
|
"<polygon class=\"l78d44\" id=\"0x323c1c0\" points=\"0,12.5 13.8,12.5 13.8,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l64d20\" id=\"0x2cfd530\" points=\"-1.9,13.05 15.7,13.05 15.7,29.1 -1.9,29.1\"/>\n",
|
|
"<polygon class=\"l94d20\" id=\"0x3268c70\" points=\"0,14.85 13.8,14.85 13.8,29.1 0,29.1\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x32b9140\" points=\"10.65,-0.85 12.35,-0.85 12.35,0.85 10.65,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x32bcea0\" points=\"10.65,26.35 12.35,26.35 12.35,28.05 10.65,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x32db8b0\" points=\"6.05,-0.85 7.75,-0.85 7.75,0.85 6.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3303290\" points=\"6.05,26.35 7.75,26.35 7.75,28.05 6.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3360030\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3361b30\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l81d4\" id=\"0x3446df0\" points=\"0,0 13.8,0 13.8,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l93d44\" id=\"0x3363400\" points=\"0,-1.9 13.8,-1.9 13.8,10.15 0,10.15\"/>\n",
|
|
"<polygon class=\"l95d20\" id=\"0x3363180\" points=\"13.8,13.45 6.05,13.45 6.05,14.75 0,14.75 0,9.75 7.75,9.75 7.75,9.05 13.8,9.05\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3450350\" points=\"13.8,28.05 0,28.05 0,26.35 0.85,26.35 0.85,15.45 7.75,15.45 7.75,10.05 12.95,10.05 12.95,26.35 13.8,26.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x32ee350\" points=\"13.8,0.85 12.95,0.85 12.95,8.35 6.05,8.35 6.05,13.75 0.85,13.75 0.85,0.85 0,0.85 0,-0.85 13.8,-0.85\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x32daa30\" points=\"11.25,12.55 7.95,12.55 7.95,9.15 3.95,9.15 3.95,1.05 9.85,1.05 9.85,9.25 11.25,9.25\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x32d3730\" points=\"9.85,26.15 3.95,26.15 3.95,14.55 2.55,14.55 2.55,11.25 5.85,11.25 5.85,14.65 9.85,14.65\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3364c20\" points=\"10.35,4 12.05,4 12.05,5.7 10.35,5.7\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x32c3cf0\" points=\"10.35,17.8 12.05,17.8 12.05,19.5 10.35,19.5\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x33c5770\" points=\"10.35,22.55 12.05,22.55 12.05,24.25 10.35,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34453a0\" points=\"8.75,10.05 10.45,10.05 10.45,11.75 8.75,11.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3447240\" points=\"3.35,12.05 5.05,12.05 5.05,13.75 3.35,13.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x335bfd0\" points=\"1.75,4 3.45,4 3.45,5.7 1.75,5.7\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x324b0f0\" points=\"1.75,17.8 3.45,17.8 3.45,19.5 1.75,19.5\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3273c40\" points=\"1.75,22.55 3.45,22.55 3.45,24.25 1.75,24.25\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,29.6 0,24.8 13.8,24.8 13.8,29.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,2.4 0,-2.4 13.8,-2.4 13.8,2.4\"/>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x3438f60\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPWR</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x325f0c0\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VGND</text>\n",
|
|
"<text class=\"l64t5\" dominant-baseline=\"central\" id=\"0x3415710\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPB</text>\n",
|
|
"<text class=\"l64t59\" dominant-baseline=\"central\" id=\"0x3445f20\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VNB</text>\n",
|
|
"<text class=\"l83t44\" dominant-baseline=\"text-before-edge\" id=\"0x322a400\" text-anchor=\"start\" transform=\"translate(0 0) rotate(90) scale(0.1) scale(1 -1)\">decap_3</text>\n",
|
|
"</g>\n",
|
|
"<g id=\"sky130_fd_sc_hd__clkbuf_4\">\n",
|
|
"<polygon class=\"l236d0\" id=\"0x340e260\" points=\"0,0 27.6,0 27.6,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x30884b0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x3088580\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l64d16\" id=\"0x310d4e0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l122d16\" id=\"0x30ece90\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x309ad90\" points=\"24.45,-0.85 26.15,-0.85 26.15,0.85 24.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x309ae60\" points=\"24.45,26.35 26.15,26.35 26.15,28.05 24.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x31a1ed0\" points=\"19.85,-0.85 21.55,-0.85 21.55,0.85 19.85,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x31b02c0\" points=\"19.85,26.35 21.55,26.35 21.55,28.05 19.85,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3242470\" points=\"15.25,-0.85 16.95,-0.85 16.95,0.85 15.25,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3242540\" points=\"15.25,26.35 16.95,26.35 16.95,28.05 15.25,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3273180\" points=\"10.65,-0.85 12.35,-0.85 12.35,0.85 10.65,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x330aae0\" points=\"10.65,26.35 12.35,26.35 12.35,28.05 10.65,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x330abb0\" points=\"6.05,-0.85 7.75,-0.85 7.75,0.85 6.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x330c070\" points=\"6.05,26.35 7.75,26.35 7.75,28.05 6.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x330ef10\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x330efe0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l94d20\" id=\"0x31b0330\" points=\"0,13.55 27.6,13.55 27.6,29.1 0,29.1\"/>\n",
|
|
"<polygon class=\"l64d20\" id=\"0x3311a30\" points=\"-1.9,13.05 29.5,13.05 29.5,29.1 -1.9,29.1\"/>\n",
|
|
"<polygon class=\"l93d44\" id=\"0x3385a70\" points=\"0,-1.9 27.6,-1.9 27.6,10.15 0,10.15\"/>\n",
|
|
"<polygon class=\"l78d44\" id=\"0x3385b40\" points=\"0,12.5 27.6,12.5 27.6,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l95d20\" id=\"0x33ad380\" points=\"0,9.75 27.6,9.75 27.6,13.45 0,13.45\"/>\n",
|
|
"<polygon class=\"l81d4\" id=\"0x33e95c0\" points=\"0,0 27.6,0 27.6,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x33e9690\" points=\"4.25,7.55 7.75,7.55 7.75,13.25 4.25,13.25\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x25d5670\" points=\"27.6,0.85 26.15,0.85 26.15,5.65 23.35,5.65 23.35,0.85 17.3,0.85 17.3,5.65 14.75,5.65 14.75,0.85 8.3,0.85 8.3,5.65 5.55,5.65 5.55,0.85 0,0.85 0,-0.85 27.6,-0.85\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x25d56e0\" points=\"26.6,15.85 21.65,15.85 21.65,24.65 19.05,24.65 19.05,20.05 13.05,20.05 13.05,24.65 10.45,24.65 10.45,18.35 19.05,18.35 19.05,14.15 22.55,14.15 22.55,9.05 10.1,9.05 10.1,3.45 13.05,3.45 13.05,7.35 19.05,7.35 19.05,3.45 21.65,3.45 21.65,7.35 26.6,7.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x2664530\" points=\"20.85,12.45 11.15,12.45 11.15,16.65 3.95,16.65 3.95,24.65 0.85,24.65 0.85,2.55 3.85,2.55 3.85,5.85 2.55,5.85 2.55,14.95 9.45,14.95 9.45,10.75 20.85,10.75\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x26645a0\" points=\"27.6,28.05 0,28.05 0,26.35 5.65,26.35 5.65,18.35 8.75,18.35 8.75,26.35 14.75,26.35 14.75,21.75 17.3,21.75 17.3,26.35 23.35,26.35 23.35,17.65 26.2,17.65 26.2,26.35 27.6,26.35\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x2664610\" points=\"15.2,3.15 16.9,3.15 16.9,4.85 15.2,4.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x272f2f0\" points=\"18.35,10.75 20.05,10.75 20.05,12.45 18.35,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x27c3400\" points=\"19.45,18.75 21.15,18.75 21.15,20.45 19.45,20.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x27c34d0\" points=\"6.05,19.15 7.75,19.15 7.75,20.85 6.05,20.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x2827d60\" points=\"19.45,15.35 21.15,15.35 21.15,17.05 19.45,17.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3310f20\" points=\"1.75,22.15 3.45,22.15 3.45,23.85 1.75,23.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x2a17360\" points=\"4.25,10.75 5.95,10.75 5.95,12.45 4.25,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x2a17430\" points=\"1.75,16.05 3.45,16.05 3.45,17.75 1.75,17.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x2a88070\" points=\"1.75,3.35 3.45,3.35 3.45,5.05 1.75,5.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x2ac8240\" points=\"6.05,22.55 7.75,22.55 7.75,24.25 6.05,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x2ac8310\" points=\"23.75,18.45 25.45,18.45 25.45,20.15 23.75,20.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x2c79e80\" points=\"6.05,3.15 7.75,3.15 7.75,4.85 6.05,4.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x2b58010\" points=\"10.85,20.8 12.55,20.8 12.55,22.5 10.85,22.5\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x2b580e0\" points=\"10.9,4.25 12.6,4.25 12.6,5.95 10.9,5.95\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x2d1d6d0\" points=\"11.55,10.75 13.25,10.75 13.25,12.45 11.55,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3062130\" points=\"14.95,10.75 16.65,10.75 16.65,12.45 14.95,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3062200\" points=\"15.15,22.55 16.85,22.55 16.85,24.25 15.15,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x30d9d40\" points=\"19.45,22.15 21.15,22.15 21.15,23.85 19.45,23.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x307e220\" points=\"19.5,4.25 21.2,4.25 21.2,5.95 19.5,5.95\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x307e2f0\" points=\"23.75,21.85 25.45,21.85 25.45,23.55 23.75,23.55\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x318db20\" points=\"23.8,3.15 25.5,3.15 25.5,4.85 23.8,4.85\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x32877e0\" points=\"23.25,11.85 23.2,11.85 23.2,26.15 21.7,26.15 21.7,12.95 18.9,12.95 18.9,26.15 17.4,26.15 17.4,12.95 14.6,12.95 14.6,26.15 13.1,26.15 13.1,12.95 10.3,12.95 10.3,26.15 8.8,26.15 8.8,10.5 8.85,10.5 8.85,1.05 10.35,1.05 10.35,10.2 13.15,10.2 13.15,1.05 14.65,1.05 14.65,10.2 17.45,10.2 17.45,1.05 18.95,1.05 18.95,10.2 21.75,10.2 21.75,1.05 23.25,1.05\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x3287850\" points=\"6.45,13.25 5.5,13.25 5.5,26.15 4,26.15 4,13.25 3.45,13.25 3.45,9.95 4,9.95 4,1.05 5.5,1.05 5.5,9.95 6.45,9.95\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x32878c0\" points=\"1.35,2.35 26.15,2.35 26.15,6.55 1.35,6.55\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x32b5e90\" points=\"1.35,14.85 26.2,14.85 26.2,24.85 1.35,24.85\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x330cac0\" points=\"6.05,11.05 7.75,11.05 7.75,12.75 6.05,12.75\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x330cb90\" points=\"6.05,7.65 7.75,7.65 7.75,9.35 6.05,9.35\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3257510\" points=\"19.85,14.45 21.55,14.45 21.55,16.15 19.85,16.15\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x326fc90\" points=\"24.45,11.05 26.15,11.05 26.15,12.75 24.45,12.75\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x326fd00\" points=\"24.45,7.65 26.15,7.65 26.15,9.35 24.45,9.35\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,2.4 0,-2.4 27.6,-2.4 27.6,2.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,29.6 0,24.8 27.6,24.8 27.6,29.6\"/>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x30ecf60\" text-anchor=\"middle\" transform=\"translate(20.7 15.3) scale(0.1) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x311fa10\" text-anchor=\"middle\" transform=\"translate(6.9 8.5) scale(0.1) scale(1 -1)\">A</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x311faa0\" text-anchor=\"middle\" transform=\"translate(25.3 8.5) scale(0.1) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3138b40\" text-anchor=\"middle\" transform=\"translate(6.9 11.9) scale(0.1) scale(1 -1)\">A</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3138bd0\" text-anchor=\"middle\" transform=\"translate(25.3 11.9) scale(0.1) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x31a9a00\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VGND</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x31a9a90\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPWR</text>\n",
|
|
"<text class=\"l64t5\" dominant-baseline=\"central\" id=\"0x31e7870\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPB</text>\n",
|
|
"<text class=\"l64t59\" dominant-baseline=\"central\" id=\"0x31e7900\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VNB</text>\n",
|
|
"<text class=\"l83t44\" dominant-baseline=\"text-before-edge\" id=\"0x32f0960\" text-anchor=\"start\" transform=\"translate(0 0) rotate(90) scale(0.1) scale(1 -1)\">clkbuf_4</text>\n",
|
|
"</g>\n",
|
|
"<g id=\"sky130_fd_sc_hd__clkbuf_1\">\n",
|
|
"<polygon class=\"l236d0\" id=\"0x344ee10\" points=\"0,0 13.8,0 13.8,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x344eee0\" points=\"10.65,-0.85 12.35,-0.85 12.35,0.85 10.65,0.85\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x3448f30\" points=\"10.65,26.35 12.35,26.35 12.35,28.05 10.65,28.05\"/>\n",
|
|
"<polygon class=\"l64d16\" id=\"0x344b710\" points=\"10.65,26.35 12.35,26.35 12.35,28.05 10.65,28.05\"/>\n",
|
|
"<polygon class=\"l122d16\" id=\"0x344b7e0\" points=\"10.65,-0.85 12.35,-0.85 12.35,0.85 10.65,0.85\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x344b8b0\" points=\"0.85,24.65 3.55,24.65 3.55,15.6 2.55,15.6 2.55,7.6 3.45,7.6 3.45,2.55 0.85,2.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x344b920\" points=\"0,0.85 5.25,0.85 5.25,4.65 8.55,4.65 8.55,0.85 13.8,0.85 13.8,-0.85 0,-0.85\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x344ba30\" points=\"4.25,13.9 5.4,13.9 5.4,17.05 10.35,17.05 10.35,24.65 12.05,24.65 12.05,15.35 7.1,15.35 7.1,8.05 12.05,8.05 12.05,2.55 10.35,2.55 10.35,6.35 5.4,6.35 5.4,10.6 4.25,10.6\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x344baa0\" points=\"9.45,9.85 12.75,9.85 12.75,13.55 9.45,13.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x344bc00\" points=\"0,28.05 13.8,28.05 13.8,26.35 8.55,26.35 8.55,18.75 5.25,18.75 5.25,26.35 0,26.35\"/>\n",
|
|
"<polygon class=\"l95d20\" id=\"0x344bd10\" points=\"0,9.75 13.8,9.75 13.8,14.1 0,14.1\"/>\n",
|
|
"<polygon class=\"l78d44\" id=\"0x344bde0\" points=\"0,12.5 13.8,12.5 13.8,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l93d44\" id=\"0x3447da0\" points=\"0,-1.9 13.8,-1.9 13.8,10.15 0,10.15\"/>\n",
|
|
"<polygon class=\"l64d20\" id=\"0x3447e70\" points=\"-1.9,13.05 15.7,13.05 15.7,29.1 -1.9,29.1\"/>\n",
|
|
"<polygon class=\"l94d20\" id=\"0x3447f40\" points=\"0,14.2 13.8,14.2 13.8,29.1 0,29.1\"/>\n",
|
|
"<polygon class=\"l81d4\" id=\"0x3448010\" points=\"0,0 13.8,0 13.8,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34480e0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3448260\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3448330\" points=\"6.05,-0.85 7.75,-0.85 7.75,0.85 6.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3448400\" points=\"6.05,26.35 7.75,26.35 7.75,28.05 6.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34484d0\" points=\"10.65,-0.85 12.35,-0.85 12.35,0.85 10.65,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34485a0\" points=\"10.65,26.35 12.35,26.35 12.35,28.05 10.65,28.05\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3448670\" points=\"1.45,4.25 3.15,4.25 3.15,5.95 1.45,5.95\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3448740\" points=\"1.45,17.85 3.15,17.85 3.15,19.55 1.45,19.55\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3456f90\" points=\"1.45,21.25 3.15,21.25 3.15,22.95 1.45,22.95\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3457060\" points=\"10.65,11.05 12.35,11.05 12.35,12.75 10.65,12.75\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x3457130\" points=\"1.35,2.35 12.45,2.35 12.45,7.55 1.35,7.55\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x3457200\" points=\"1.35,16.95 12.45,16.95 12.45,24.85 1.35,24.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34572d0\" points=\"1.75,4.45 3.45,4.45 3.45,6.15 1.75,6.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34573a0\" points=\"1.75,18.1 3.45,18.1 3.45,19.8 1.75,19.8\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3457470\" points=\"1.75,22.15 3.45,22.15 3.45,23.85 1.75,23.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3457540\" points=\"4.25,11.4 5.95,11.4 5.95,13.1 4.25,13.1\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3457610\" points=\"6.05,2.95 7.75,2.95 7.75,4.65 6.05,4.65\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34481b0\" points=\"6.05,18.75 7.75,18.75 7.75,20.45 6.05,20.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34578f0\" points=\"6.05,22.15 7.75,22.15 7.75,23.85 6.05,23.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34579c0\" points=\"10.25,10.75 11.95,10.75 11.95,12.45 10.25,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x344a030\" points=\"10.35,3.6 12.05,3.6 12.05,5.3 10.35,5.3\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x344a0a0\" points=\"10.35,18.75 12.05,18.75 12.05,20.45 10.35,20.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x344a170\" points=\"10.35,22.15 12.05,22.15 12.05,23.85 10.35,23.85\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x344a240\" points=\"3.75,13.9 3.95,13.9 3.95,26.15 5.45,26.15 5.45,13.9 6.45,13.9 6.45,10.6 5.45,10.6 5.45,1.05 3.95,1.05 3.95,10.6 3.75,10.6\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x344a390\" points=\"12.45,13.25 10.15,13.25 10.15,16.2 9.85,16.2 9.85,26.15 8.35,26.15 8.35,15 8.65,15 8.65,9.5 8.35,9.5 8.35,1.05 9.85,1.05 9.85,8.3 10.15,8.3 10.15,9.95 12.45,9.95\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"13.8,-2.4 13.8,2.4 0,2.4 0,-2.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"13.8,24.8 13.8,29.6 0,29.6 0,24.8\"/>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x344a780\" text-anchor=\"middle\" transform=\"translate(11.5 0) rotate(180) scale(1 -1) scale(0.1) scale(1 -1)\">VGND</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x344a810\" text-anchor=\"middle\" transform=\"translate(11.5 27.2) rotate(180) scale(1 -1) scale(0.1) scale(1 -1)\">VPWR</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x344a8a0\" text-anchor=\"middle\" transform=\"translate(2.3 5.1) rotate(180) scale(1 -1) scale(0.1) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x344a930\" text-anchor=\"middle\" transform=\"translate(2.3 18.7) rotate(180) scale(1 -1) scale(0.1) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x344a9c0\" text-anchor=\"middle\" transform=\"translate(2.3 22.1) rotate(180) scale(1 -1) scale(0.1) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x344aa50\" text-anchor=\"middle\" transform=\"translate(11.5 11.9) rotate(180) scale(1 -1) scale(0.1) scale(1 -1)\">A</text>\n",
|
|
"<text class=\"l64t5\" dominant-baseline=\"central\" id=\"0x344aae0\" text-anchor=\"middle\" transform=\"translate(11.5 27.2) rotate(180) scale(1 -1) scale(0.1) scale(1 -1)\">VPB</text>\n",
|
|
"<text class=\"l64t59\" dominant-baseline=\"central\" id=\"0x344ab70\" text-anchor=\"middle\" transform=\"translate(11.5 0) rotate(180) scale(1 -1) scale(0.1) scale(1 -1)\">VNB</text>\n",
|
|
"<text class=\"l83t44\" dominant-baseline=\"text-before-edge\" id=\"0x344ac00\" text-anchor=\"start\" transform=\"translate(0 0) rotate(90) scale(0.1) scale(1 -1)\">clkbuf_1</text>\n",
|
|
"</g>\n",
|
|
"<g id=\"sky130_fd_sc_hd__and2_1\">\n",
|
|
"<polygon class=\"l236d0\" id=\"0x3454110\" points=\"0,0 23,0 23,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x3454db0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x3454e80\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l64d16\" id=\"0x3454f50\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l122d16\" id=\"0x3455020\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x34550f0\" points=\"19.85,4.25 21.55,4.25 21.55,5.95 19.85,5.95\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x34551c0\" points=\"1.45,11.05 3.15,11.05 3.15,12.75 1.45,12.75\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3455290\" points=\"6.05,11.05 7.75,11.05 7.75,12.75 6.05,12.75\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3455360\" points=\"10.65,11.05 12.35,11.05 12.35,12.75 10.65,12.75\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3457d70\" points=\"19.85,21.25 21.55,21.25 21.55,22.95 19.85,22.95\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3457e40\" points=\"19.85,17.85 21.55,17.85 21.55,19.55 19.85,19.55\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3457f10\" points=\"19.85,14.45 21.55,14.45 21.55,16.15 19.85,16.15\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3457fe0\" points=\"19.85,11.05 21.55,11.05 21.55,12.75 19.85,12.75\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x34580b0\" points=\"19.85,7.65 21.55,7.65 21.55,9.35 19.85,9.35\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3458180\" points=\"1.45,14.45 3.15,14.45 3.15,16.15 1.45,16.15\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x3458250\" points=\"19.65,8.85 12.75,8.85 12.75,7.95 2.95,7.95 2.95,3.75 12.75,3.75 12.75,2.35 19.65,2.35\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x3458360\" points=\"21.6,24.85 12.75,24.85 12.75,22.75 2.95,22.75 2.95,18.55 12.25,18.55 12.25,14.85 21.6,14.85\"/>\n",
|
|
"<polygon class=\"l78d44\" id=\"0x34584e0\" points=\"0,12.5 23,12.5 23,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l93d44\" id=\"0x34585b0\" points=\"0,-1.9 23,-1.9 23,10.15 0,10.15\"/>\n",
|
|
"<polygon class=\"l64d20\" id=\"0x3458680\" points=\"-1.9,13.05 24.9,13.05 24.9,29.1 -1.9,29.1\"/>\n",
|
|
"<polygon class=\"l94d20\" id=\"0x3458750\" points=\"0,13.55 23,13.55 23,29.1 0,29.1\"/>\n",
|
|
"<polygon class=\"l81d4\" id=\"0x3458820\" points=\"0,0 23,0 23,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34588f0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34589c0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3458a90\" points=\"6.05,26.35 7.75,26.35 7.75,28.05 6.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3458b60\" points=\"6.05,-0.85 7.75,-0.85 7.75,0.85 6.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3458c30\" points=\"10.65,26.35 12.35,26.35 12.35,28.05 10.65,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3458d00\" points=\"10.65,-0.85 12.35,-0.85 12.35,0.85 10.65,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3458dd0\" points=\"15.25,26.35 16.95,26.35 16.95,28.05 15.25,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x344d420\" points=\"15.25,-0.85 16.95,-0.85 16.95,0.85 15.25,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x344d4f0\" points=\"19.85,26.35 21.55,26.35 21.55,28.05 19.85,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x344d5c0\" points=\"19.85,-0.85 21.55,-0.85 21.55,0.85 19.85,0.85\"/>\n",
|
|
"<polygon class=\"l95d20\" id=\"0x344d690\" points=\"0,9.75 23,9.75 23,13.45 0,13.45\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3458430\" points=\"22.15,24.65 17.55,24.65 17.55,19.15 19.65,19.15 19.65,5.45 16.55,5.45 16.55,2.55 22.15,2.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x344d9b0\" points=\"23,28.05 0,28.05 0,26.35 2.85,26.35 2.85,19.65 5.65,19.65 5.65,26.35 12.35,26.35 12.35,19.15 15.65,19.15 15.65,26.35 23,26.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x344db00\" points=\"1,10.75 1,16.85 3.65,16.85 3.65,13.25 7.75,13.25 7.75,10.75\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x344db70\" points=\"23,0.85 14.85,0.85 14.85,5.45 12.35,5.45 12.35,0.85 0,0.85 0,-0.85 23,-0.85\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x344dc80\" points=\"17.95,13.25 16.75,13.25 16.75,17.45 10.35,17.45 10.35,22.95 7.35,22.95 7.35,15.75 15.05,15.75 15.05,9.05 2.85,9.05 2.85,3.55 6.15,3.55 6.15,7.15 16.75,7.15 16.75,9.95 17.95,9.95\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x344de10\" points=\"9.95,10.75 13.35,10.75 13.35,13.25 9.95,13.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x344dee0\" points=\"3.7,10.75 5.4,10.75 5.4,12.45 3.7,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x344dfb0\" points=\"18.35,19.15 20.05,19.15 20.05,20.85 18.35,20.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x344e080\" points=\"7.75,20.45 9.45,20.45 9.45,22.15 7.75,22.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x344e150\" points=\"3.55,20.45 5.25,20.45 5.25,22.15 3.55,22.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x344e220\" points=\"3.55,4.35 5.25,4.35 5.25,6.05 3.55,6.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x344e2f0\" points=\"10.75,10.75 12.45,10.75 12.45,12.45 10.75,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x344e3c0\" points=\"13.15,22.55 14.85,22.55 14.85,24.25 13.15,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x344e490\" points=\"13.15,19.15 14.85,19.15 14.85,20.85 13.15,20.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34590c0\" points=\"13.15,2.95 14.85,2.95 14.85,4.65 13.15,4.65\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3459190\" points=\"16.15,10.75 17.85,10.75 17.85,12.45 16.15,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3459260\" points=\"17.35,2.95 19.05,2.95 19.05,4.65 17.35,4.65\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3459330\" points=\"18.35,22.55 20.05,22.55 20.05,24.25 18.35,24.25\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x3459400\" points=\"18.65,13.25 16.85,13.25 16.85,26.15 15.35,26.15 15.35,1.05 16.85,1.05 16.85,9.95 18.65,9.95\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x3459510\" points=\"7.25,24.05 5.75,24.05 5.75,13.25 2.9,13.25 2.9,9.95 5.75,9.95 5.75,2.45 7.25,2.45\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x3459620\" points=\"13.25,13.25 11.45,13.25 11.45,24.05 9.95,24.05 9.95,2.45 11.45,2.45 11.45,9.95 13.25,9.95\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,2.4 0,-2.4 23,-2.4 23,2.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,29.6 0,24.8 23,24.8 23,29.6\"/>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x3459990\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPWR</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x3459a20\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VGND</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3459ab0\" text-anchor=\"middle\" transform=\"translate(20.7 8.5) scale(0.125) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3459b40\" text-anchor=\"middle\" transform=\"translate(20.7 5.1) scale(0.125) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3459bd0\" text-anchor=\"middle\" transform=\"translate(20.7 11.9) scale(0.125) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3459c60\" text-anchor=\"middle\" transform=\"translate(20.7 15.3) scale(0.125) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3459cf0\" text-anchor=\"middle\" transform=\"translate(20.7 18.7) scale(0.125) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3459d80\" text-anchor=\"middle\" transform=\"translate(20.7 22.1) scale(0.125) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3459e10\" text-anchor=\"middle\" transform=\"translate(11.5 11.9) scale(0.125) scale(1 -1)\">B</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3459f30\" text-anchor=\"middle\" transform=\"translate(6.9 11.9) scale(0.125) scale(1 -1)\">A</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3459fc0\" text-anchor=\"middle\" transform=\"translate(2.3 11.9) scale(0.125) scale(1 -1)\">A</text>\n",
|
|
"<text class=\"l64t5\" dominant-baseline=\"central\" id=\"0x345a050\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPB</text>\n",
|
|
"<text class=\"l64t59\" dominant-baseline=\"central\" id=\"0x345a0e0\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VNB</text>\n",
|
|
"<text class=\"l83t44\" dominant-baseline=\"text-before-edge\" id=\"0x345a170\" text-anchor=\"start\" transform=\"translate(0 0) rotate(90) scale(0.1) scale(1 -1)\">and2_1</text>\n",
|
|
"</g>\n",
|
|
"<g id=\"sky130_fd_sc_hd__or2_1\">\n",
|
|
"<polygon class=\"l236d0\" id=\"0x3485450\" points=\"0,0 23,0 23,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l64d16\" id=\"0x3485520\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l122d16\" id=\"0x34855f0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x34856c0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x3485790\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34860f0\" points=\"21.8,24.65 16.45,24.65 16.45,18.45 18.65,18.45 18.65,8.25 15.65,8.25 15.65,2.55 21.8,2.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3486200\" points=\"16.95,16.65 6.6,16.65 6.6,18.4 2.7,18.4 2.7,14.95 6.7,14.95 6.7,2.65 9.5,2.65 9.5,5.95 8.4,5.95 8.4,14.95 15.25,14.95 15.25,9.95 16.95,9.95\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3486270\" points=\"23,28.05 0,28.05 0,26.35 11.45,26.35 11.45,18.35 14.75,18.35 14.75,26.35 23,26.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3486380\" points=\"23,0.85 13.95,0.85 13.95,5.95 11.8,5.95 11.8,0.85 4.9,0.85 4.9,5.95 2.5,5.95 2.5,0.85 0,0.85 0,-0.85 23,-0.85\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3486560\" points=\"1.45,7.65 5,7.65 5,13.25 1.45,13.25\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3486630\" points=\"10.1,7.65 12.75,7.65 12.75,13.25 10.1,13.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3486700\" points=\"17.25,19.15 18.95,19.15 18.95,20.85 17.25,20.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34867d0\" points=\"3.2,3.45 4.9,3.45 4.9,5.15 3.2,5.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34868a0\" points=\"17.25,22.55 18.95,22.55 18.95,24.25 17.25,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3486970\" points=\"3.3,10.75 5,10.75 5,12.45 3.3,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3486a40\" points=\"3.8,15.75 5.5,15.75 5.5,17.45 3.8,17.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3488b80\" points=\"7.4,3.45 9.1,3.45 9.1,5.15 7.4,5.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3488d00\" points=\"10.1,10.75 11.8,10.75 11.8,12.45 10.1,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3488dd0\" points=\"12.2,3.45 13.9,3.45 13.9,5.15 12.2,5.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3488ea0\" points=\"12.25,21.75 13.95,21.75 13.95,23.45 12.25,23.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3488f70\" points=\"12.25,18.35 13.95,18.35 13.95,20.05 12.25,20.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3489040\" points=\"15.25,10.75 16.95,10.75 16.95,12.45 15.25,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3489110\" points=\"16.45,6.45 18.15,6.45 18.15,8.15 16.45,8.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34891e0\" points=\"16.45,3.05 18.15,3.05 18.15,4.75 16.45,4.75\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34892b0\" points=\"7.5,20.35 6,20.35 6,13.25 2.5,13.25 2.5,9.95 5.4,9.95 5.4,1.05 6.9,1.05 6.9,9.95 7.5,9.95\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34893e0\" points=\"17.75,13.25 15.95,13.25 15.95,26.15 14.45,26.15 14.45,1.05 15.95,1.05 15.95,9.95 17.75,9.95\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34894f0\" points=\"12.3,13.25 11.1,13.25 11.1,20.35 9.6,20.35 9.6,1.05 11.1,1.05 11.1,9.95 12.3,9.95\"/>\n",
|
|
"<polygon class=\"l95d20\" id=\"0x3489600\" points=\"0,9.75 23,9.75 23,13.45 0,13.45\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34896d0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34897a0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3489870\" points=\"6.05,26.35 7.75,26.35 7.75,28.05 6.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3489940\" points=\"6.05,-0.85 7.75,-0.85 7.75,0.85 6.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3489a10\" points=\"10.65,26.35 12.35,26.35 12.35,28.05 10.65,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3488c50\" points=\"10.65,-0.85 12.35,-0.85 12.35,0.85 10.65,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3489cf0\" points=\"15.25,26.35 16.95,26.35 16.95,28.05 15.25,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3489dc0\" points=\"15.25,-0.85 16.95,-0.85 16.95,0.85 15.25,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3489e90\" points=\"19.85,26.35 21.55,26.35 21.55,28.05 19.85,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3489f60\" points=\"19.85,-0.85 21.55,-0.85 21.55,0.85 19.85,0.85\"/>\n",
|
|
"<polygon class=\"l81d4\" id=\"0x348a030\" points=\"0,0 23,0 23,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l94d20\" id=\"0x348a100\" points=\"0,13.55 23,13.55 23,29.1 0,29.1\"/>\n",
|
|
"<polygon class=\"l64d20\" id=\"0x348a1d0\" points=\"-1.9,13.05 24.9,13.05 24.9,29.1 -1.9,29.1\"/>\n",
|
|
"<polygon class=\"l93d44\" id=\"0x348a2a0\" points=\"0,-1.9 23,-1.9 23,10.15 0,10.15\"/>\n",
|
|
"<polygon class=\"l78d44\" id=\"0x348a370\" points=\"0,12.5 23,12.5 23,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x348a440\" points=\"18.55,8.85 11.85,8.85 11.85,6.55 2.8,6.55 2.8,2.35 18.55,2.35\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x3486d70\" points=\"19.35,24.85 11.85,24.85 11.85,19.05 3.4,19.05 3.4,14.85 19.35,14.85\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3486e60\" points=\"19.85,17.85 21.55,17.85 21.55,19.55 19.85,19.55\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3486f30\" points=\"10.65,11.05 12.35,11.05 12.35,12.75 10.65,12.75\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3487000\" points=\"1.45,11.05 3.15,11.05 3.15,12.75 1.45,12.75\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x34870d0\" points=\"10.65,7.65 12.35,7.65 12.35,9.35 10.65,9.35\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x34871a0\" points=\"1.45,7.65 3.15,7.65 3.15,9.35 1.45,9.35\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,29.6 0,24.8 23,24.8 23,29.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,2.4 0,-2.4 23,-2.4 23,2.4\"/>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3485860\" text-anchor=\"middle\" transform=\"translate(11.5 11.9) scale(0.1) scale(1 -1)\">A</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3485910\" text-anchor=\"middle\" transform=\"translate(20.7 18.7) scale(0.1) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x34859c0\" text-anchor=\"middle\" transform=\"translate(2.3 11.9) scale(0.1) scale(1 -1)\">B</text>\n",
|
|
"<text class=\"l64t5\" dominant-baseline=\"central\" id=\"0x3485a70\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPB</text>\n",
|
|
"<text class=\"l64t59\" dominant-baseline=\"central\" id=\"0x3485b20\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VNB</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x3485c20\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VGND</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x3485cd0\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPWR</text>\n",
|
|
"<text class=\"l83t44\" dominant-baseline=\"text-before-edge\" id=\"0x3487270\" text-anchor=\"start\" transform=\"translate(0 0) rotate(90) scale(0.1) scale(1 -1)\">or2_1</text>\n",
|
|
"</g>\n",
|
|
"<g id=\"sky130_fd_sc_hd__inv_2\">\n",
|
|
"<polygon class=\"l236d0\" id=\"0x3475110\" points=\"0,0 13.8,0 13.8,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l64d16\" id=\"0x3476630\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l122d16\" id=\"0x3476700\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x34767d0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x34768a0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3476970\" points=\"13.8,28.05 0,28.05 0,26.35 1.25,26.35 1.25,14.95 3.55,14.95 3.55,26.35 10.25,26.35 10.25,14.95 12.35,14.95 12.35,26.35 13.8,26.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3476ac0\" points=\"8.55,24.65 5.25,24.65 5.25,14.85 6.05,14.85 6.05,8.85 5.25,8.85 5.25,2.55 8.55,2.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3476bd0\" points=\"1.05,10.75 4.35,10.75 4.35,13.25 1.05,13.25\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3476ca0\" points=\"13.8,0.85 12.35,0.85 12.35,9.05 10.25,9.05 10.25,0.85 3.55,0.85 3.55,9.05 1.25,9.05 1.25,0.85 0,0.85 0,-0.85 13.8,-0.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34779b0\" points=\"10.25,2.95 11.95,2.95 11.95,4.65 10.25,4.65\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3477a80\" points=\"10.25,6.55 11.95,6.55 11.95,8.25 10.25,8.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3477b50\" points=\"10.25,15.75 11.95,15.75 11.95,17.45 10.25,17.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3477c20\" points=\"10.25,19.15 11.95,19.15 11.95,20.85 10.25,20.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3477cf0\" points=\"10.25,22.55 11.95,22.55 11.95,24.25 10.25,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3477dc0\" points=\"6.05,2.95 7.75,2.95 7.75,4.65 6.05,4.65\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3477e90\" points=\"6.05,6.55 7.75,6.55 7.75,8.25 6.05,8.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3477f60\" points=\"6.05,15.75 7.75,15.75 7.75,17.45 6.05,17.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34780e0\" points=\"6.05,19.15 7.75,19.15 7.75,20.85 6.05,20.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34781b0\" points=\"6.05,22.55 7.75,22.55 7.75,24.25 6.05,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3478280\" points=\"1.85,22.55 3.55,22.55 3.55,24.25 1.85,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3478350\" points=\"1.85,2.95 3.55,2.95 3.55,4.65 1.85,4.65\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3478420\" points=\"1.85,6.55 3.55,6.55 3.55,8.25 1.85,8.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34784f0\" points=\"1.85,10.75 3.55,10.75 3.55,12.45 1.85,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34785c0\" points=\"1.85,15.75 3.55,15.75 3.55,17.45 1.85,17.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3478690\" points=\"1.85,19.15 3.55,19.15 3.55,20.85 1.85,20.85\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x3478760\" points=\"9.75,26.15 8.25,26.15 8.25,13.25 5.55,13.25 5.55,26.15 4.05,26.15 4.05,13.25 1.05,13.25 1.05,9.95 4.05,9.95 4.05,1.05 5.55,1.05 5.55,9.95 8.25,9.95 8.25,1.05 9.75,1.05\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x34788f0\" points=\"1.45,2.35 12.35,2.35 12.35,8.85 1.45,8.85\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x34789c0\" points=\"1.45,14.85 12.35,14.85 12.35,24.85 1.45,24.85\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3469380\" points=\"6.05,7.65 7.75,7.65 7.75,9.35 6.05,9.35\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3469450\" points=\"1.45,11.05 3.15,11.05 3.15,12.75 1.45,12.75\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3469520\" points=\"6.05,14.45 7.75,14.45 7.75,16.15 6.05,16.15\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x34695f0\" points=\"6.05,11.05 7.75,11.05 7.75,12.75 6.05,12.75\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34696c0\" points=\"10.65,-0.85 12.35,-0.85 12.35,0.85 10.65,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3478030\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34699a0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3469a70\" points=\"6.05,26.35 7.75,26.35 7.75,28.05 6.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3469b40\" points=\"6.05,-0.85 7.75,-0.85 7.75,0.85 6.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3469c10\" points=\"10.65,26.35 12.35,26.35 12.35,28.05 10.65,28.05\"/>\n",
|
|
"<polygon class=\"l81d4\" id=\"0x3469ce0\" points=\"0,0 13.8,0 13.8,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l94d20\" id=\"0x3469db0\" points=\"0,13.55 13.8,13.55 13.8,29.1 0,29.1\"/>\n",
|
|
"<polygon class=\"l64d20\" id=\"0x3469e80\" points=\"-1.9,13.05 15.7,13.05 15.7,29.1 -1.9,29.1\"/>\n",
|
|
"<polygon class=\"l93d44\" id=\"0x3469f50\" points=\"0,-1.9 13.8,-1.9 13.8,10.15 0,10.15\"/>\n",
|
|
"<polygon class=\"l78d44\" id=\"0x346a020\" points=\"0,12.5 13.8,12.5 13.8,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l95d20\" id=\"0x346a0f0\" points=\"0,9.75 13.8,9.75 13.8,13.45 0,13.45\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,29.6 0,24.8 13.8,24.8 13.8,29.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,2.4 0,-2.4 13.8,-2.4 13.8,2.4\"/>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3476e80\" text-anchor=\"middle\" transform=\"translate(2.3 11.9) scale(0.17) scale(1 -1)\">A</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3477260\" text-anchor=\"middle\" transform=\"translate(6.9 8.5) scale(0.17) scale(1 -1)\">Y</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3477310\" text-anchor=\"middle\" transform=\"translate(6.9 15.3) scale(0.17) scale(1 -1)\">Y</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x34773c0\" text-anchor=\"middle\" transform=\"translate(6.9 11.9) scale(0.17) scale(1 -1)\">Y</text>\n",
|
|
"<text class=\"l64t5\" dominant-baseline=\"central\" id=\"0x3477470\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPB</text>\n",
|
|
"<text class=\"l64t59\" dominant-baseline=\"central\" id=\"0x3477520\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VNB</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x34775d0\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPWR</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x3477680\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VGND</text>\n",
|
|
"<text class=\"l83t44\" dominant-baseline=\"text-before-edge\" id=\"0x346a1c0\" text-anchor=\"start\" transform=\"translate(0 0) rotate(90) scale(0.1) scale(1 -1)\">inv_2</text>\n",
|
|
"</g>\n",
|
|
"<g id=\"sky130_fd_sc_hd__buf_2\">\n",
|
|
"<polygon class=\"l236d0\" id=\"0x3450f10\" points=\"0,0 18.4,0 18.4,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x3450fe0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x34510b0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l64d16\" id=\"0x3451180\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l122d16\" id=\"0x3451250\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x3451320\" points=\"14.4,26.15 12.9,26.15 12.9,13.25 10.2,13.25 10.2,26.15 8.7,26.15 8.7,13.25 7.55,13.25 7.55,9.95 8.7,9.95 8.7,1.05 10.2,1.05 10.2,9.95 12.9,9.95 12.9,1.05 14.4,1.05\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34514b0\" points=\"5.45,25.75 3.95,25.75 3.95,13.25 1.35,13.25 1.35,9.95 3.95,9.95 3.95,1.05 5.45,1.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34515c0\" points=\"6.4,2.95 8.1,2.95 8.1,4.65 6.4,4.65\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3451690\" points=\"8.05,10.75 9.75,10.75 9.75,12.45 8.05,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34517f0\" points=\"10.7,21.35 12.4,21.35 12.4,23.05 10.7,23.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34518c0\" points=\"10.7,17.3 12.4,17.3 12.4,19 10.7,19\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3451990\" points=\"6.4,18.75 8.1,18.75 8.1,20.45 6.4,20.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3451a60\" points=\"10.7,4.45 12.4,4.45 12.4,6.15 10.7,6.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3451b30\" points=\"14.9,19.15 16.6,19.15 16.6,20.85 14.9,20.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3451c00\" points=\"14.9,15.75 16.6,15.75 16.6,17.45 14.9,17.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3451ec0\" points=\"14.9,22.55 16.6,22.55 16.6,24.25 14.9,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3451f90\" points=\"14.9,3.15 16.6,3.15 16.6,4.85 14.9,4.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3452110\" points=\"1.75,18.75 3.45,18.75 3.45,20.45 1.75,20.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34521e0\" points=\"1.75,3.6 3.45,3.6 3.45,5.3 1.75,5.3\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34522b0\" points=\"1.85,10.75 3.55,10.75 3.55,12.45 1.85,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3452380\" points=\"6.4,22.15 8.1,22.15 8.1,23.85 6.4,23.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3452450\" points=\"1.75,22.15 3.45,22.15 3.45,23.85 1.75,23.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3452520\" points=\"14.9,6.55 16.6,6.55 16.6,8.25 14.9,8.25\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34525f0\" points=\"0.85,9.85 4.4,9.85 4.4,13.55 0.85,13.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34526c0\" points=\"9.75,13.25 8.9,13.25 8.9,17.05 3.45,17.05 3.45,24.65 1.75,24.65 1.75,15.35 7.2,15.35 7.2,8.05 1.75,8.05 1.75,2.55 3.45,2.55 3.45,6.35 8.9,6.35 8.9,9.95 9.75,9.95\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3452850\" points=\"13.15,24.65 10.6,24.65 10.6,15.6 11.45,15.6 11.45,8.3 10.6,8.3 10.6,2.55 13.15,2.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3452960\" points=\"18.4,28.05 0,28.05 0,26.35 5.6,26.35 5.6,18.75 8.9,18.75 8.9,26.35 14.9,26.35 14.9,14.85 17.5,14.85 17.5,26.35 18.4,26.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3452ab0\" points=\"18.4,0.85 17.5,0.85 17.5,9.25 14.9,9.25 14.9,0.85 8.9,0.85 8.9,4.65 5.6,4.65 5.6,0.85 0,0.85 0,-0.85 18.4,-0.85\"/>\n",
|
|
"<polygon class=\"l95d20\" id=\"0x3452c00\" points=\"0,9.75 18.4,9.75 18.4,13.45 0,13.45\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3452cd0\" points=\"10.6,4.25 12.3,4.25 12.3,5.95 10.6,5.95\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3452da0\" points=\"1.45,11.05 3.15,11.05 3.15,12.75 1.45,12.75\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3452e70\" points=\"10.6,17.85 12.3,17.85 12.3,19.55 10.6,19.55\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3453150\" points=\"10.6,21.25 12.3,21.25 12.3,22.95 10.6,22.95\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3452060\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3453430\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x3453500\" points=\"17.05,24.85 6.2,24.85 6.2,24.45 1.35,24.45 1.35,18.05 6.2,18.05 6.2,14.85 17.05,14.85\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x3453610\" points=\"17.05,8.85 6.2,8.85 6.2,6.55 1.35,6.55 1.35,2.35 17.05,2.35\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3453680\" points=\"15.25,-0.85 16.95,-0.85 16.95,0.85 15.25,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3453750\" points=\"15.25,26.35 16.95,26.35 16.95,28.05 15.25,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3453820\" points=\"10.65,-0.85 12.35,-0.85 12.35,0.85 10.65,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34538f0\" points=\"10.65,26.35 12.35,26.35 12.35,28.05 10.65,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34539c0\" points=\"6.05,-0.85 7.75,-0.85 7.75,0.85 6.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3453a90\" points=\"6.05,26.35 7.75,26.35 7.75,28.05 6.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3453b60\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3453c30\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l94d20\" id=\"0x3453d00\" points=\"0,13.55 18.4,13.55 18.4,29.1 0,29.1\"/>\n",
|
|
"<polygon class=\"l64d20\" id=\"0x3453dd0\" points=\"-1.9,13.05 20.3,13.05 20.3,29.1 -1.9,29.1\"/>\n",
|
|
"<polygon class=\"l93d44\" id=\"0x3453ea0\" points=\"0,-1.9 18.4,-1.9 18.4,10.15 0,10.15\"/>\n",
|
|
"<polygon class=\"l78d44\" id=\"0x3453f70\" points=\"0,12.5 18.4,12.5 18.4,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l81d4\" id=\"0x3454040\" points=\"0,0 18.4,0 18.4,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,2.4 0,-2.4 18.4,-2.4 18.4,2.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,29.6 0,24.8 18.4,24.8 18.4,29.6\"/>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x34545f0\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPWR</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x3454680\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VGND</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3454710\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPWR</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x34547a0\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VGND</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3454830\" text-anchor=\"middle\" transform=\"translate(11.45 5.1) scale(0.1) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x34548c0\" text-anchor=\"middle\" transform=\"translate(11.45 18.7) scale(0.1) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3454950\" text-anchor=\"middle\" transform=\"translate(11.45 22.1) scale(0.1) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x34549e0\" text-anchor=\"middle\" transform=\"translate(2.3 11.9) scale(0.1) scale(1 -1)\">A</text>\n",
|
|
"<text class=\"l64t5\" dominant-baseline=\"central\" id=\"0x3454a70\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPB</text>\n",
|
|
"<text class=\"l64t59\" dominant-baseline=\"central\" id=\"0x3454b90\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VNB</text>\n",
|
|
"<text class=\"l83t44\" dominant-baseline=\"text-before-edge\" id=\"0x3454c20\" text-anchor=\"start\" transform=\"translate(0 0) rotate(90) scale(0.1) scale(1 -1)\">buf_2</text>\n",
|
|
"</g>\n",
|
|
"<g id=\"sky130_fd_sc_hd__and4_1\">\n",
|
|
"<polygon class=\"l236d0\" id=\"0x34487c0\" points=\"0,0 32.2,0 32.2,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x346dff0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x346e0c0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l64d16\" id=\"0x346e190\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l122d16\" id=\"0x346e260\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l95d20\" id=\"0x346e330\" points=\"0,9.75 32.2,9.75 32.2,13.45 0,13.45\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x346e400\" points=\"27,13.25 26.85,13.25 26.85,16.65 18.3,16.65 18.3,24.65 15.8,24.65 15.8,16.65 8.45,16.65 8.45,24.65 5.95,24.65 5.95,16.65 4.95,16.65 4.95,5.85 1.7,5.85 1.7,2.55 6.65,2.55 6.65,14.95 23.7,14.95 23.7,10.75 27,10.75\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x346e470\" points=\"31.35,24.65 27.95,24.65 27.95,22.05 28.75,22.05 28.75,8.05 27.95,8.05 27.95,2.95 31.35,2.95\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x346e580\" points=\"18.95,3.55 21.75,3.55 21.75,13.25 18.95,13.25\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x346e6e0\" points=\"14.15,3.55 17.15,3.55 17.15,13.25 14.15,13.25\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x346e7b0\" points=\"8.85,3.6 12.35,3.6 12.35,13.25 8.85,13.25\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x346e880\" points=\"32.2,28.05 0,28.05 0,26.35 0.9,26.35 0.9,22.55 4.25,22.55 4.25,26.35 10.65,26.35 10.65,19.15 13.95,19.15 13.95,26.35 22.95,26.35 22.95,18.35 26.25,18.35 26.25,26.35 32.2,26.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x346ea10\" points=\"0.85,7.65 3.25,7.65 3.25,20.75 0.85,20.75\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x346eae0\" points=\"32.2,0.85 26.25,0.85 26.25,8.85 23.55,8.85 23.55,0.85 0,0.85 0,-0.85 32.2,-0.85\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x346ebf0\" points=\"28.25,26.15 26.75,26.15 26.75,13.25 24,13.25 24,9.95 26.75,9.95 26.75,1.05 28.25,1.05\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x346ed00\" points=\"21.65,13.25 19.95,13.25 19.95,26.15 18.45,26.15 18.45,1.05 19.95,1.05 19.95,9.95 21.65,9.95\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x346ee10\" points=\"16.35,13.25 15.65,13.25 15.65,26.15 14.15,26.15 14.15,13.25 13.65,13.25 13.65,1.05 15.15,1.05 15.15,9.95 16.35,9.95\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x346f050\" points=\"11.05,13.25 10.45,13.25 10.45,26.15 8.95,26.15 8.95,13.25 8.35,13.25 8.35,1.05 9.85,1.05 9.85,9.95 11.05,9.95\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x3455770\" points=\"5.45,26.15 3.95,26.15 3.95,13.25 1.05,13.25 1.05,9.95 3.95,9.95 3.95,1.05 5.45,1.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3455880\" points=\"23.8,2.95 25.5,2.95 25.5,4.65 23.8,4.65\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3455950\" points=\"23.8,6.35 25.5,6.35 25.5,8.05 23.8,8.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3455a20\" points=\"11.45,22.55 13.15,22.55 13.15,24.25 11.45,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3455af0\" points=\"1.75,22.55 3.45,22.55 3.45,24.25 1.75,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3455bc0\" points=\"1.75,3.35 3.45,3.35 3.45,5.05 1.75,5.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3455c90\" points=\"28.75,2.95 30.45,2.95 30.45,4.65 28.75,4.65\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3455d60\" points=\"28.75,6.35 30.45,6.35 30.45,8.05 28.75,8.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3455e30\" points=\"28.75,15.75 30.45,15.75 30.45,17.45 28.75,17.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3455f00\" points=\"28.75,19.15 30.45,19.15 30.45,20.85 28.75,20.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3455fd0\" points=\"28.75,22.55 30.45,22.55 30.45,24.25 28.75,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34560a0\" points=\"24.5,10.75 26.2,10.75 26.2,12.45 24.5,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3456170\" points=\"23.75,19.15 25.45,19.15 25.45,20.85 23.75,20.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3456240\" points=\"23.75,22.55 25.45,22.55 25.45,24.25 23.75,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3456310\" points=\"18.95,10.75 20.65,10.75 20.65,12.45 18.95,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x346ee80\" points=\"16.2,22.15 17.9,22.15 17.9,23.85 16.2,23.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3456590\" points=\"14.15,10.75 15.85,10.75 15.85,12.45 14.15,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3456660\" points=\"8.85,10.75 10.55,10.75 10.55,12.45 8.85,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3456730\" points=\"6.35,22.15 8.05,22.15 8.05,23.85 6.35,23.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3456800\" points=\"1.55,10.75 3.25,10.75 3.25,12.45 1.55,12.45\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34568d0\" points=\"29.05,-0.85 30.75,-0.85 30.75,0.85 29.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34569a0\" points=\"29.05,26.35 30.75,26.35 30.75,28.05 29.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3456a70\" points=\"24.45,-0.85 26.15,-0.85 26.15,0.85 24.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3456b40\" points=\"24.45,26.35 26.15,26.35 26.15,28.05 24.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x346c2a0\" points=\"19.85,-0.85 21.55,-0.85 21.55,0.85 19.85,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x346c310\" points=\"19.85,26.35 21.55,26.35 21.55,28.05 19.85,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x346c3e0\" points=\"15.25,-0.85 16.95,-0.85 16.95,0.85 15.25,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x346c4b0\" points=\"15.25,26.35 16.95,26.35 16.95,28.05 15.25,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x346c580\" points=\"10.65,-0.85 12.35,-0.85 12.35,0.85 10.65,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x346c650\" points=\"10.65,26.35 12.35,26.35 12.35,28.05 10.65,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x346c720\" points=\"6.05,-0.85 7.75,-0.85 7.75,0.85 6.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x346c7f0\" points=\"6.05,26.35 7.75,26.35 7.75,28.05 6.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x346c8c0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x346c990\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l94d20\" id=\"0x346ca60\" points=\"0,13.55 32.2,13.55 32.2,29.1 0,29.1\"/>\n",
|
|
"<polygon class=\"l64d20\" id=\"0x346cb30\" points=\"-1.9,13.05 34.1,13.05 34.1,29.1 -1.9,29.1\"/>\n",
|
|
"<polygon class=\"l93d44\" id=\"0x346cc00\" points=\"0,-1.9 32.2,-1.9 32.2,10.15 0,10.15\"/>\n",
|
|
"<polygon class=\"l78d44\" id=\"0x346ccd0\" points=\"0,12.5 32.2,12.5 32.2,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x346cda0\" points=\"29.05,4.25 30.75,4.25 30.75,5.95 29.05,5.95\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x346ce70\" points=\"29.05,7.65 30.75,7.65 30.75,9.35 29.05,9.35\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x346cf40\" points=\"29.05,11.05 30.75,11.05 30.75,12.75 29.05,12.75\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x346d010\" points=\"29.05,14.45 30.75,14.45 30.75,16.15 29.05,16.15\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x346d0e0\" points=\"29.05,17.85 30.75,17.85 30.75,19.55 29.05,19.55\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x346d1b0\" points=\"29.05,21.25 30.75,21.25 30.75,22.95 29.05,22.95\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x346d280\" points=\"19.85,11.05 21.55,11.05 21.55,12.75 19.85,12.75\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x346d350\" points=\"15.25,4.25 16.95,4.25 16.95,5.95 15.25,5.95\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x346d420\" points=\"15.25,7.65 16.95,7.65 16.95,9.35 15.25,9.35\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x346d4f0\" points=\"15.25,11.05 16.95,11.05 16.95,12.75 15.25,12.75\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x346d5c0\" points=\"10.65,4.25 12.35,4.25 12.35,5.95 10.65,5.95\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x346d690\" points=\"10.65,7.65 12.35,7.65 12.35,9.35 10.65,9.35\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x346d760\" points=\"1.45,11.05 3.15,11.05 3.15,12.75 1.45,12.75\"/>\n",
|
|
"<polygon class=\"l81d4\" id=\"0x3472760\" points=\"0,0 32.2,0 32.2,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x3472830\" points=\"30.85,8.85 22.1,8.85 22.1,6.55 1.35,6.55 1.35,2.35 30.85,2.35\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x3472920\" points=\"30.85,24.85 1.35,24.85 1.35,20.65 20.9,20.65 20.9,14.85 30.85,14.85\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,29.6 0,24.8 32.2,24.8 32.2,29.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,2.4 0,-2.4 32.2,-2.4 32.2,2.4\"/>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3472a10\" text-anchor=\"middle\" transform=\"translate(29.9 22.1) scale(0.1) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3472aa0\" text-anchor=\"middle\" transform=\"translate(16.1 5.1) scale(0.1) scale(1 -1)\">C</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3472b50\" text-anchor=\"middle\" transform=\"translate(16.1 8.5) scale(0.1) scale(1 -1)\">C</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3472c00\" text-anchor=\"middle\" transform=\"translate(2.3 11.9) scale(0.1) scale(1 -1)\">A</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3472cb0\" text-anchor=\"middle\" transform=\"translate(16.1 11.9) scale(0.1) scale(1 -1)\">C</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3472d60\" text-anchor=\"middle\" transform=\"translate(11.5 5.1) scale(0.1) scale(1 -1)\">B</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3472e10\" text-anchor=\"middle\" transform=\"translate(11.5 8.5) scale(0.1) scale(1 -1)\">B</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3472ec0\" text-anchor=\"middle\" transform=\"translate(20.7 11.9) scale(0.1) scale(1 -1)\">D</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3472f70\" text-anchor=\"middle\" transform=\"translate(29.9 5.1) scale(0.1) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x34730b0\" text-anchor=\"middle\" transform=\"translate(29.9 8.5) scale(0.1) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3473160\" text-anchor=\"middle\" transform=\"translate(29.9 11.9) scale(0.1) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3473210\" text-anchor=\"middle\" transform=\"translate(29.9 15.3) scale(0.1) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x34732c0\" text-anchor=\"middle\" transform=\"translate(29.9 18.7) scale(0.1) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x3473370\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VGND</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x3473420\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPWR</text>\n",
|
|
"<text class=\"l64t5\" dominant-baseline=\"central\" id=\"0x3473750\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPB</text>\n",
|
|
"<text class=\"l64t59\" dominant-baseline=\"central\" id=\"0x34737e0\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VNB</text>\n",
|
|
"<text class=\"l83t44\" dominant-baseline=\"text-before-edge\" id=\"0x3473000\" text-anchor=\"start\" transform=\"translate(0 0) rotate(90) scale(0.1) scale(1 -1)\">and4_1</text>\n",
|
|
"</g>\n",
|
|
"<g id=\"sky130_fd_sc_hd__clkbuf_16\">\n",
|
|
"<polygon class=\"l236d0\" id=\"0x349b4a0\" points=\"0,0 92,0 92,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l122d16\" id=\"0x349b570\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l122d16\" id=\"0x349b640\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l64d16\" id=\"0x349b710\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l64d16\" id=\"0x349b7e0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x349b8b0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x349b980\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349c4d0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349c5a0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349c700\" points=\"6.05,26.35 7.75,26.35 7.75,28.05 6.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349c7d0\" points=\"6.05,-0.85 7.75,-0.85 7.75,0.85 6.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349c8a0\" points=\"10.65,26.35 12.35,26.35 12.35,28.05 10.65,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349c970\" points=\"10.65,-0.85 12.35,-0.85 12.35,0.85 10.65,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349ca40\" points=\"15.25,26.35 16.95,26.35 16.95,28.05 15.25,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349cb10\" points=\"15.25,-0.85 16.95,-0.85 16.95,0.85 15.25,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349cbe0\" points=\"19.85,26.35 21.55,26.35 21.55,28.05 19.85,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349ccb0\" points=\"19.85,-0.85 21.55,-0.85 21.55,0.85 19.85,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349d1f0\" points=\"24.45,26.35 26.15,26.35 26.15,28.05 24.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349d2c0\" points=\"24.45,-0.85 26.15,-0.85 26.15,0.85 24.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349d390\" points=\"29.05,26.35 30.75,26.35 30.75,28.05 29.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349d460\" points=\"29.05,-0.85 30.75,-0.85 30.75,0.85 29.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349d530\" points=\"33.65,26.35 35.35,26.35 35.35,28.05 33.65,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349d600\" points=\"33.65,-0.85 35.35,-0.85 35.35,0.85 33.65,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349d6d0\" points=\"38.25,26.35 39.95,26.35 39.95,28.05 38.25,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349d7a0\" points=\"38.25,-0.85 39.95,-0.85 39.95,0.85 38.25,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349d870\" points=\"42.85,26.35 44.55,26.35 44.55,28.05 42.85,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349d940\" points=\"42.85,-0.85 44.55,-0.85 44.55,0.85 42.85,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349da10\" points=\"47.45,26.35 49.15,26.35 49.15,28.05 47.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349dae0\" points=\"47.45,-0.85 49.15,-0.85 49.15,0.85 47.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349dbb0\" points=\"52.05,26.35 53.75,26.35 53.75,28.05 52.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349dc80\" points=\"52.05,-0.85 53.75,-0.85 53.75,0.85 52.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349dd50\" points=\"56.65,26.35 58.35,26.35 58.35,28.05 56.65,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349de20\" points=\"56.65,-0.85 58.35,-0.85 58.35,0.85 56.65,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349cd80\" points=\"61.25,26.35 62.95,26.35 62.95,28.05 61.25,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349e0a0\" points=\"61.25,-0.85 62.95,-0.85 62.95,0.85 61.25,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349e170\" points=\"65.85,26.35 67.55,26.35 67.55,28.05 65.85,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349e240\" points=\"65.85,-0.85 67.55,-0.85 67.55,0.85 65.85,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349e310\" points=\"70.45,26.35 72.15,26.35 72.15,28.05 70.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349e3e0\" points=\"70.45,-0.85 72.15,-0.85 72.15,0.85 70.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349e4b0\" points=\"75.05,26.35 76.75,26.35 76.75,28.05 75.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349e580\" points=\"75.05,-0.85 76.75,-0.85 76.75,0.85 75.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349e650\" points=\"79.65,26.35 81.35,26.35 81.35,28.05 79.65,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349e720\" points=\"79.65,-0.85 81.35,-0.85 81.35,0.85 79.65,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349e7f0\" points=\"84.25,26.35 85.95,26.35 85.95,28.05 84.25,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349e8c0\" points=\"84.25,-0.85 85.95,-0.85 85.95,0.85 84.25,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349e990\" points=\"88.85,26.35 90.55,26.35 90.55,28.05 88.85,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x349ea60\" points=\"88.85,-0.85 90.55,-0.85 90.55,0.85 88.85,0.85\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x349eb30\" points=\"0,-2.4 92,-2.4 92,2.4 0,2.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x349ec00\" points=\"0,24.8 92,24.8 92,29.6 0,29.6\"/>\n",
|
|
"<polygon class=\"l94d20\" id=\"0x349ecd0\" points=\"0,13.55 92,13.55 92,29.1 0,29.1\"/>\n",
|
|
"<polygon class=\"l64d20\" id=\"0x349eda0\" points=\"-1.9,13.05 93.9,13.05 93.9,29.1 -1.9,29.1\"/>\n",
|
|
"<polygon class=\"l93d44\" id=\"0x34a33b0\" points=\"0,-1.9 92,-1.9 92,10.15 0,10.15\"/>\n",
|
|
"<polygon class=\"l78d44\" id=\"0x34a3480\" points=\"0,12.5 92,12.5 92,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l95d20\" id=\"0x34a3550\" points=\"0,9.75 92,9.75 92,13.45 0,13.45\"/>\n",
|
|
"<polygon class=\"l81d4\" id=\"0x34a3620\" points=\"0,0 92,0 92,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x34a36f0\" points=\"1.45,11.05 3.15,11.05 3.15,12.75 1.45,12.75\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x34a37c0\" points=\"1.45,7.65 3.15,7.65 3.15,9.35 1.45,9.35\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x34a3890\" points=\"79.65,14.45 81.35,14.45 81.35,16.15 79.65,16.15\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x34a3960\" points=\"79.65,11.05 81.35,11.05 81.35,12.75 79.65,12.75\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x34a3a30\" points=\"79.65,7.65 81.35,7.65 81.35,9.35 79.65,9.35\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x34a3b00\" points=\"84.25,14.45 85.95,14.45 85.95,16.15 84.25,16.15\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x34a3bd0\" points=\"84.25,11.05 85.95,11.05 85.95,12.75 84.25,12.75\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x34a3ca0\" points=\"84.25,7.65 85.95,7.65 85.95,9.35 84.25,9.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34a3d70\" points=\"92,28.05 0,28.05 0,26.35 0.95,26.35 0.95,18.25 3.9,18.25 3.9,26.35 9.9,26.35 9.9,18.25 12.5,18.25 12.5,26.35 18.5,26.35 18.5,18.35 21.1,18.35 21.1,26.3 27.1,26.3 27.1,19.05 29.7,19.05 29.7,26.3 35.7,26.3 35.7,19.05 38.3,19.05 38.3,26.3 44.3,26.3 44.3,19.05 46.9,19.05 46.9,26.3 52.9,26.3 52.9,19.05 55.35,19.05 55.35,26.3 61.5,26.3 61.5,19.05 63.95,19.05 63.95,26.3 70.1,26.3 70.1,19.05 72.55,19.05 72.55,26.3 78.7,26.3 78.7,19.05 81.25,19.05 81.25,26.35 87.55,26.35 87.55,18.9 90.25,18.9 90.25,26.35 92,26.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34a3de0\" points=\"90.25,17.2 85.85,17.2 85.85,24.6 82.95,24.6 82.95,17.2 76.85,17.2 76.85,24.6 74.25,24.6 74.25,17.35 68.25,17.35 68.25,24.6 65.65,24.6 65.65,17.35 59.65,17.35 59.65,24.6 57.05,24.6 57.05,17.35 51.2,17.35 51.2,24.6 48.6,24.6 48.6,17.35 42.6,17.35 42.6,24.6 40,24.6 40,17.35 34,17.35 34,24.6 31.4,24.6 31.4,17.35 25.4,17.35 25.4,24.6 22.8,24.6 22.8,14.95 78.6,14.95 78.6,9.05 22.8,9.05 22.8,2.8 25.4,2.8 25.4,7.35 31.4,7.35 31.4,2.8 34,2.8 34,7.35 40,7.35 40,2.8 42.6,2.8 42.6,7.35 48.45,7.35 48.45,2.8 51.2,2.8 51.2,7.35 57.05,7.35 57.05,2.8 59.65,2.8 59.65,7.35 65.65,7.35 65.65,2.8 68.25,2.8 68.25,7.35 74.25,7.35 74.25,2.8 76.85,2.8 76.85,7.35 82.95,7.35 82.95,2.8 85.55,2.8 85.55,7.35 90.25,7.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x349de90\" points=\"0.85,7.65 4,7.65 4,13.25 0.85,13.25\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x349df60\" points=\"92,0.85 90.25,0.85 90.25,5.65 87.25,5.65 87.25,0.85 81.25,0.85 81.25,5.65 78.65,5.65 78.65,0.85 72.55,0.85 72.55,5.65 70.05,5.65 70.05,0.85 63.95,0.85 63.95,5.65 61.45,5.65 61.45,0.85 55.35,0.85 55.35,5.65 52.9,5.65 52.9,0.85 46.75,0.85 46.75,5.65 44.3,5.65 44.3,0.85 38.3,0.85 38.3,5.65 35.7,5.65 35.7,0.85 29.7,0.85 29.7,5.65 27.1,5.65 27.1,0.85 21.1,0.85 21.1,6.45 18.5,6.45 18.5,0.85 12.5,0.85 12.5,6.1 9.9,6.1 9.9,0.85 3.9,0.85 3.9,5.95 0.85,5.95 0.85,0.85 0,0.85 0,-0.85 92,-0.85\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x349dfd0\" points=\"76.9,13.25 16.8,13.25 16.8,24.6 14.3,24.6 14.3,13.25 8.15,13.25 8.15,24.65 5.7,24.65 5.7,2.65 8.2,2.65 8.2,10.75 14.3,10.75 14.3,2.65 16.8,2.65 16.8,10.75 76.9,10.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a47e0\" points=\"1.75,22.55 3.45,22.55 3.45,24.25 1.75,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a4850\" points=\"1.75,19.15 3.45,19.15 3.45,20.85 1.75,20.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a4920\" points=\"1.75,2.95 3.45,2.95 3.45,4.65 1.75,4.65\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a49f0\" points=\"1.85,10.75 3.55,10.75 3.55,12.45 1.85,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a4ac0\" points=\"6.05,22.15 7.75,22.15 7.75,23.85 6.05,23.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a4b90\" points=\"6.05,18.75 7.75,18.75 7.75,20.45 6.05,20.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a4c60\" points=\"6.05,3.6 7.75,3.6 7.75,5.3 6.05,5.3\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a4d30\" points=\"10.35,22.55 12.05,22.55 12.05,24.25 10.35,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a4e00\" points=\"10.35,19.15 12.05,19.15 12.05,20.85 10.35,20.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a4ed0\" points=\"10.35,3.6 12.05,3.6 12.05,5.3 10.35,5.3\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a4fa0\" points=\"14.65,21.75 16.35,21.75 16.35,23.45 14.65,23.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x349f220\" points=\"14.65,18.35 16.35,18.35 16.35,20.05 14.65,20.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x349f290\" points=\"14.65,3.6 16.35,3.6 16.35,5.3 14.65,5.3\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x349f360\" points=\"18.95,22.55 20.65,22.55 20.65,24.25 18.95,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x349f430\" points=\"18.95,19.15 20.65,19.15 20.65,20.85 18.95,20.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x349f500\" points=\"18.95,3.6 20.65,3.6 20.65,5.3 18.95,5.3\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x349f5d0\" points=\"23.2,10.75 24.9,10.75 24.9,12.45 23.2,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x349f6a0\" points=\"23.25,20.35 24.95,20.35 24.95,22.05 23.25,22.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x349f770\" points=\"23.25,16.05 24.95,16.05 24.95,17.75 23.25,17.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x349f840\" points=\"23.25,3.6 24.95,3.6 24.95,5.3 23.25,5.3\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x349f910\" points=\"26.6,10.75 28.3,10.75 28.3,12.45 26.6,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x349f9e0\" points=\"27.55,21.35 29.25,21.35 29.25,23.05 27.55,23.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x349fab0\" points=\"27.55,3.15 29.25,3.15 29.25,4.85 27.55,4.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x349fb80\" points=\"30,10.75 31.7,10.75 31.7,12.45 30,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x349fc50\" points=\"31.85,20.35 33.55,20.35 33.55,22.05 31.85,22.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x349fd20\" points=\"31.85,16.05 33.55,16.05 33.55,17.75 31.85,17.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x349fdf0\" points=\"31.85,3.6 33.55,3.6 33.55,5.3 31.85,5.3\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x349fec0\" points=\"33.4,10.75 35.1,10.75 35.1,12.45 33.4,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x349ff90\" points=\"36.15,21.35 37.85,21.35 37.85,23.05 36.15,23.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a0060\" points=\"36.15,3.15 37.85,3.15 37.85,4.85 36.15,4.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a0130\" points=\"36.8,10.75 38.5,10.75 38.5,12.45 36.8,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a0200\" points=\"40.2,10.75 41.9,10.75 41.9,12.45 40.2,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a02d0\" points=\"40.45,20.35 42.15,20.35 42.15,22.05 40.45,22.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a03a0\" points=\"87.7,3.15 89.4,3.15 89.4,4.85 87.7,4.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a0470\" points=\"40.45,16.05 42.15,16.05 42.15,17.75 40.45,17.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a0540\" points=\"40.45,3.6 42.15,3.6 42.15,5.3 40.45,5.3\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a0610\" points=\"43.6,10.75 45.3,10.75 45.3,12.45 43.6,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a06e0\" points=\"44.75,21.35 46.45,21.35 46.45,23.05 44.75,23.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a07b0\" points=\"44.75,3.15 46.45,3.15 46.45,4.85 44.75,4.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a0880\" points=\"47,10.75 48.7,10.75 48.7,12.45 47,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a0950\" points=\"49.05,20.35 50.75,20.35 50.75,22.05 49.05,22.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a0a20\" points=\"49.05,16.05 50.75,16.05 50.75,17.75 49.05,17.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a0af0\" points=\"49.05,3.6 50.75,3.6 50.75,5.3 49.05,5.3\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a0bc0\" points=\"50.4,10.75 52.1,10.75 52.1,12.45 50.4,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a0c90\" points=\"53.35,21.35 55.05,21.35 55.05,23.05 53.35,23.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a0d60\" points=\"53.35,3.15 55.05,3.15 55.05,4.85 53.35,4.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a0e30\" points=\"53.8,10.75 55.5,10.75 55.5,12.45 53.8,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a12e0\" points=\"57.2,10.75 58.9,10.75 58.9,12.45 57.2,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a13b0\" points=\"57.6,20.35 59.3,20.35 59.3,22.05 57.6,22.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a1480\" points=\"57.6,16.05 59.3,16.05 59.3,17.75 57.6,17.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a1550\" points=\"57.6,3.6 59.3,3.6 59.3,5.3 57.6,5.3\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a1620\" points=\"66.2,3.6 67.9,3.6 67.9,5.3 66.2,5.3\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a16f0\" points=\"67.4,10.75 69.1,10.75 69.1,12.45 67.4,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a17c0\" points=\"70.5,21.35 72.2,21.35 72.2,23.05 70.5,23.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a1890\" points=\"70.5,3.15 72.2,3.15 72.2,4.85 70.5,4.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a1960\" points=\"70.8,10.75 72.5,10.75 72.5,12.45 70.8,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a1a30\" points=\"74.2,10.75 75.9,10.75 75.9,12.45 74.2,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a1b00\" points=\"74.8,20.35 76.5,20.35 76.5,22.05 74.8,22.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a1bd0\" points=\"74.8,16.05 76.5,16.05 76.5,17.75 74.8,17.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a1ca0\" points=\"74.8,3.6 76.5,3.6 76.5,5.3 74.8,5.3\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a1d70\" points=\"79.1,21.35 80.8,21.35 80.8,23.05 79.1,23.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a3eb0\" points=\"79.1,3.15 80.8,3.15 80.8,4.85 79.1,4.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a3f80\" points=\"83.4,20.35 85.1,20.35 85.1,22.05 83.4,22.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a4050\" points=\"83.4,16.05 85.1,16.05 85.1,17.75 83.4,17.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a4120\" points=\"83.4,3.6 85.1,3.6 85.1,5.3 83.4,5.3\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a41f0\" points=\"87.7,21.35 89.4,21.35 89.4,23.05 87.7,23.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a2650\" points=\"60.6,10.75 62.3,10.75 62.3,12.45 60.6,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a2720\" points=\"61.9,21.35 63.6,21.35 63.6,23.05 61.9,23.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a27f0\" points=\"61.9,3.15 63.6,3.15 63.6,4.85 61.9,4.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a28c0\" points=\"64,10.75 65.7,10.75 65.7,12.45 64,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a2990\" points=\"66.2,20.35 67.9,20.35 67.9,22.05 66.2,22.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34a2a60\" points=\"66.2,16.05 67.9,16.05 67.9,17.75 66.2,17.75\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34a2b30\" points=\"87.15,26.15 85.65,26.15 85.65,13.25 82.85,13.25 82.85,26.15 81.35,26.15 81.35,13.25 78.55,13.25 78.55,26.15 77.05,26.15 77.05,13.25 74.25,13.25 74.25,26.15 72.75,26.15 72.75,13.25 69.95,13.25 69.95,26.15 68.45,26.15 68.45,13.25 65.65,13.25 65.65,26.15 64.15,26.15 64.15,13.25 61.35,13.25 61.35,26.15 59.85,26.15 59.85,13.25 57.05,13.25 57.05,26.15 55.55,26.15 55.55,13.25 52.8,13.25 52.8,26.15 51.3,26.15 51.3,13.25 48.5,13.25 48.5,26.15 47,26.15 47,13.25 44.2,13.25 44.2,26.15 42.7,26.15 42.7,13.25 39.9,13.25 39.9,26.15 38.4,26.15 38.4,13.25 35.6,13.25 35.6,26.15 34.1,26.15 34.1,13.25 31.3,13.25 31.3,26.15 29.8,26.15 29.8,13.25 27,13.25 27,26.15 25.5,26.15 25.5,13.25 22.7,13.25 22.7,26.15 21.2,26.15 21.2,1.05 22.7,1.05 22.7,9.5 25.5,9.5 25.5,1.05 27,1.05 27,9.5 29.8,9.5 29.8,1.05 31.3,1.05 31.3,9.5 34.1,9.5 34.1,1.05 35.6,1.05 35.6,9.5 38.4,9.5 38.4,1.05 39.9,1.05 39.9,9.5 42.7,9.5 42.7,1.05 44.2,1.05 44.2,9.5 47,9.5 47,1.05 48.5,1.05 48.5,9.5 51.3,9.5 51.3,1.05 52.8,1.05 52.8,9.5 55.55,9.5 55.55,1.05 57.05,1.05 57.05,9.5 59.85,9.5 59.85,1.05 61.35,1.05 61.35,9.5 64.15,9.5 64.15,1.05 65.65,1.05 65.65,9.5 68.45,9.5 68.45,1.05 69.95,1.05 69.95,9.5 72.75,9.5 72.75,1.05 74.25,1.05 74.25,9.5 77.05,9.5 77.05,1.05 78.55,1.05 78.55,9.5 81.35,9.5 81.35,1.05 82.85,1.05 82.85,9.5 85.65,9.5 85.65,1.05 87.15,1.05\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34a2ba0\" points=\"18.4,26.15 16.9,26.15 16.9,14.1 14.1,14.1 14.1,26.15 12.6,26.15 12.6,14.1 9.8,14.1 9.8,26.15 8.3,26.15 8.3,14.1 5.5,14.1 5.5,26.15 4,26.15 4,14.1 1.05,14.1 1.05,9 4,9 4,1.05 5.5,1.05 5.5,9 8.3,9 8.3,1.05 9.8,1.05 9.8,9 12.6,9 12.6,1.05 14.1,1.05 14.1,9 16.9,9 16.9,1.05 18.4,1.05\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x34a2e30\" points=\"1.35,14.85 89.8,14.85 89.8,24.85 1.35,24.85\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x34a2f00\" points=\"1.35,2.35 89.8,2.35 89.8,6.55 1.35,6.55\"/>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x349ba50\" text-anchor=\"middle\" transform=\"translate(80.5 15.3) scale(0.1) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x349bb00\" text-anchor=\"middle\" transform=\"translate(85.1 15.3) scale(0.1) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x349bbb0\" text-anchor=\"middle\" transform=\"translate(85.1 11.9) scale(0.1) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x349bc60\" text-anchor=\"middle\" transform=\"translate(80.5 11.9) scale(0.1) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x349bd10\" text-anchor=\"middle\" transform=\"translate(80.5 8.5) scale(0.1) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x349be10\" text-anchor=\"middle\" transform=\"translate(85.1 8.5) scale(0.1) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x349bec0\" text-anchor=\"middle\" transform=\"translate(2.3 8.5) scale(0.1) scale(1 -1)\">A</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x349bf70\" text-anchor=\"middle\" transform=\"translate(2.3 11.9) scale(0.1) scale(1 -1)\">A</text>\n",
|
|
"<text class=\"l64t59\" dominant-baseline=\"central\" id=\"0x349c020\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VNB</text>\n",
|
|
"<text class=\"l64t59\" dominant-baseline=\"central\" id=\"0x349c160\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VNB</text>\n",
|
|
"<text class=\"l64t5\" dominant-baseline=\"central\" id=\"0x349c210\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPB</text>\n",
|
|
"<text class=\"l64t5\" dominant-baseline=\"central\" id=\"0x349c2c0\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPB</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x349c370\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VGND</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x349c420\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPWR</text>\n",
|
|
"<text class=\"l83t44\" dominant-baseline=\"text-before-edge\" id=\"0x34a2fd0\" text-anchor=\"start\" transform=\"translate(0 0) rotate(90) scale(0.1) scale(1 -1)\">clkbuf_16</text>\n",
|
|
"</g>\n",
|
|
"<g id=\"sky130_fd_sc_hd__xor2_1\">\n",
|
|
"<polygon class=\"l236d0\" id=\"0x3089bf0\" points=\"0,0 32.2,0 32.2,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l122d16\" id=\"0x24e2b40\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l64d16\" id=\"0x249f0d0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x340fd50\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x33a0ac0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l78d44\" id=\"0x24b0540\" points=\"0,12.5 32.2,12.5 32.2,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l93d44\" id=\"0x2ffa940\" points=\"0,-1.9 32.2,-1.9 32.2,10.15 0,10.15\"/>\n",
|
|
"<polygon class=\"l64d20\" id=\"0x309c940\" points=\"-1.9,13.05 34.1,13.05 34.1,29.1 -1.9,29.1\"/>\n",
|
|
"<polygon class=\"l94d20\" id=\"0x30a5f60\" points=\"0,13.55 32.2,13.55 32.2,29.1 0,29.1\"/>\n",
|
|
"<polygon class=\"l81d4\" id=\"0x343dcd0\" points=\"0,0 32.2,0 32.2,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x2ffaca0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x2494010\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3241ca0\" points=\"6.05,26.35 7.75,26.35 7.75,28.05 6.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x32942c0\" points=\"6.05,-0.85 7.75,-0.85 7.75,0.85 6.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x31f5050\" points=\"29.05,-0.85 30.75,-0.85 30.75,0.85 29.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x32cbfe0\" points=\"29.05,26.35 30.75,26.35 30.75,28.05 29.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x33a2250\" points=\"24.45,-0.85 26.15,-0.85 26.15,0.85 24.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3433e40\" points=\"24.45,26.35 26.15,26.35 26.15,28.05 24.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x30bd720\" points=\"19.85,-0.85 21.55,-0.85 21.55,0.85 19.85,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x317ecf0\" points=\"19.85,26.35 21.55,26.35 21.55,28.05 19.85,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x317ed60\" points=\"15.25,-0.85 16.95,-0.85 16.95,0.85 15.25,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x31b0f10\" points=\"15.25,26.35 16.95,26.35 16.95,28.05 15.25,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x31b1bc0\" points=\"10.65,-0.85 12.35,-0.85 12.35,0.85 10.65,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x328c580\" points=\"10.65,26.35 12.35,26.35 12.35,28.05 10.65,28.05\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x328c5f0\" points=\"10.65,11.05 12.35,11.05 12.35,12.75 10.65,12.75\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3265bb0\" points=\"29.05,14.45 30.75,14.45 30.75,16.15 29.05,16.15\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3367760\" points=\"6.05,14.45 7.75,14.45 7.75,16.15 6.05,16.15\"/>\n",
|
|
"<polygon class=\"l95d20\" id=\"0x33d1b80\" points=\"0,9.75 32.2,9.75 32.2,13.45 0,13.45\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x33d1bf0\" points=\"6.45,13.25 5.85,13.25 5.85,26.15 4.35,26.15 4.35,13.25 3.75,13.25 3.75,9.95 4.35,9.95 4.35,1.05 5.85,1.05 5.85,9.95 6.45,9.95\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34337d0\" points=\"14.25,26.15 12.75,26.15 12.75,13.25 10.05,13.25 10.05,26.15 8.55,26.15 8.55,1.05 10.05,1.05 10.05,9.95 12.75,9.95 12.75,1.05 14.25,1.05\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x3433840\" points=\"19.05,13.25 18.45,13.25 18.45,26.15 16.95,26.15 16.95,13.25 16.35,13.25 16.35,9.95 16.95,9.95 16.95,1.05 18.45,1.05 18.45,9.95 19.05,9.95\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x308a8c0\" points=\"27.65,11.6 27.85,11.6 27.85,26.15 26.35,26.15 26.35,13.25 21.15,13.25 21.15,9.95 26.15,9.95 26.15,1.05 27.65,1.05\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x308a930\" points=\"8.4,10.75 13.9,10.75 13.9,12.75 8.4,12.75\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34494c0\" points=\"19.35,12.45 17.3,12.45 17.3,16.15 4.25,16.15 4.25,9.95 6.7,9.95 6.7,14.45 15.6,14.45 15.6,10.75 19.35,10.75\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3449530\" points=\"23.35,13.25 21.05,13.25 21.05,8.25 2.55,8.25 2.55,17.85 4.65,17.85 4.65,24.65 0.85,24.65 0.85,6.55 6.35,6.55 6.35,3.35 8.05,3.35 8.05,6.55 23.35,6.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34495a0\" points=\"26.35,24.65 22.35,24.65 22.35,19.55 17.25,19.55 17.25,24.65 13.95,24.65 13.95,17.85 26.35,17.85\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3150aa0\" points=\"31.35,24.65 28.15,24.65 28.15,15.35 25.05,15.35 25.05,4.85 17.2,4.85 17.2,3.15 26.75,3.15 26.75,13.65 31.35,13.65\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3150b10\" points=\"32.2,28.05 0,28.05 0,26.35 10.55,26.35 10.55,17.85 12.25,17.85 12.25,26.35 18.95,26.35 18.95,21.25 20.65,21.25 20.65,26.35 32.2,26.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x318e3e0\" points=\"32.2,0.85 31.35,0.85 31.35,9.2 28.45,9.2 28.45,0.85 13.05,0.85 13.05,4.75 9.75,4.75 9.75,0.85 4.65,0.85 4.65,4.75 1.35,4.75 1.35,0.85 0,0.85 0,-0.85 32.2,-0.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x318e450\" points=\"2.15,22.55 3.85,22.55 3.85,24.25 2.15,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x318b7c0\" points=\"2.15,19.15 3.85,19.15 3.85,20.85 2.15,20.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x318b830\" points=\"2.15,3.05 3.85,3.05 3.85,4.75 2.15,4.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x31ca2d0\" points=\"4.25,10.75 5.95,10.75 5.95,12.45 4.25,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x31ca3a0\" points=\"6.35,4.15 8.05,4.15 8.05,5.85 6.35,5.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x32569e0\" points=\"10.1,10.75 11.8,10.75 11.8,12.45 10.1,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x326aa70\" points=\"10.55,22.05 12.25,22.05 12.25,23.75 10.55,23.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x326ab40\" points=\"10.55,18.65 12.25,18.65 12.25,20.35 10.55,20.35\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x32fb200\" points=\"10.55,3.05 12.25,3.05 12.25,4.75 10.55,4.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3433340\" points=\"14.75,22.05 16.45,22.05 16.45,23.75 14.75,23.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3433410\" points=\"14.75,18.65 16.45,18.65 16.45,20.35 14.75,20.35\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x30997a0\" points=\"16.85,10.75 18.55,10.75 18.55,12.45 16.85,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3091ee0\" points=\"18.95,22.05 20.65,22.05 20.65,23.75 18.95,23.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3091fb0\" points=\"18.95,3.15 20.65,3.15 20.65,4.85 18.95,4.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x30ac6c0\" points=\"21.65,10.75 23.35,10.75 23.35,12.45 21.65,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3114710\" points=\"24.15,22.05 25.85,22.05 25.85,23.75 24.15,23.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x31147e0\" points=\"24.15,18.65 25.85,18.65 25.85,20.35 24.15,20.35\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x311c420\" points=\"23.95,3.15 25.65,3.15 25.65,4.85 23.95,4.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3218810\" points=\"28.35,22.15 30.05,22.15 30.05,23.85 28.35,23.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x32188e0\" points=\"28.35,18.75 30.05,18.75 30.05,20.45 28.35,20.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x330b5f0\" points=\"28.35,15.35 30.05,15.35 30.05,17.05 28.35,17.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3312fa0\" points=\"28.45,6.7 30.15,6.7 30.15,8.4 28.45,8.4\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3313070\" points=\"28.45,3.3 30.15,3.3 30.15,5 28.45,5\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x336a2d0\" points=\"1.75,14.85 21.05,14.85 21.05,24.85 1.75,24.85\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x33961f0\" points=\"23.75,14.85 30.85,14.85 30.85,24.85 23.75,24.85\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x33962c0\" points=\"1.75,2.35 30.85,2.35 30.85,8.85 1.75,8.85\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,2.4 0,-2.4 32.2,-2.4 32.2,2.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,29.6 0,24.8 32.2,24.8 32.2,29.6\"/>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x309c8b0\" text-anchor=\"middle\" transform=\"translate(6.9 15.3) scale(0.1) scale(1 -1)\">B</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x30af7d0\" text-anchor=\"middle\" transform=\"translate(29.9 15.3) scale(0.1) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x30a5ed0\" text-anchor=\"middle\" transform=\"translate(11.5 11.9) scale(0.1) scale(1 -1)\">A</text>\n",
|
|
"<text class=\"l64t59\" dominant-baseline=\"central\" id=\"0x343dc40\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VNB</text>\n",
|
|
"<text class=\"l64t5\" dominant-baseline=\"central\" id=\"0x342ef90\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPB</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x2ffac10\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPWR</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x24de200\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VGND</text>\n",
|
|
"<text class=\"l83t44\" dominant-baseline=\"text-before-edge\" id=\"0x33d2540\" text-anchor=\"start\" transform=\"translate(0 0) rotate(90) scale(0.1) scale(1 -1)\">xor2_1</text>\n",
|
|
"</g>\n",
|
|
"<g id=\"sky130_fd_sc_hd__dfrtp_2\">\n",
|
|
"<polygon class=\"l236d0\" id=\"0x34a90f0\" points=\"0,0 96.6,0 96.6,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l81d4\" id=\"0x34a91c0\" points=\"0,0 96.6,0 96.6,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l93d44\" id=\"0x34a9290\" points=\"0,-1.9 96.6,-1.9 96.6,10.15 0,10.15\"/>\n",
|
|
"<polygon class=\"l64d20\" id=\"0x34a9710\" points=\"-1.9,13.05 98.5,13.05 98.5,29.1 -1.9,29.1\"/>\n",
|
|
"<polygon class=\"l94d20\" id=\"0x34a97e0\" points=\"96.6,29.1 0,29.1 0,16.85 13.5,16.85 13.5,19.35 48.85,19.35 48.85,15.15 58.25,15.15 58.25,19.35 81.55,19.35 81.55,13.55 96.6,13.55\"/>\n",
|
|
"<polygon class=\"l95d20\" id=\"0x34a9980\" points=\"96.6,13.45 78.4,13.45 78.4,18.45 74.7,18.45 74.7,14.2 64.5,14.2 64.5,19.25 60.8,19.25 60.8,14.2 28.8,14.2 28.8,19.25 25.1,19.25 25.1,16.5 16,16.5 16,14.2 5.65,14.2 5.65,13.45 0,13.45 0,9.75 14.25,9.75 14.25,7.45 29.1,7.45 29.1,7.15 32.8,7.15 32.8,10.5 41.85,10.5 41.85,7.45 45.55,7.45 45.55,9.85 50.55,9.85 50.55,10.5 54.05,10.5 54.05,6.85 57.75,6.85 57.75,9.25 66.05,9.25 66.05,7.95 69.75,7.95 69.75,9.35 74.55,9.35 74.55,9.75 96.6,9.75\"/>\n",
|
|
"<polygon class=\"l95d20\" id=\"0x34a99f0\" points=\"37.55,13.65 41.95,13.65 41.95,17.95 37.55,17.95\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x34a9ac0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x34a9b90\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l64d16\" id=\"0x34a9cf0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l122d16\" id=\"0x34a9dc0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34aa5a0\" points=\"9.55,17.55 12.45,17.55 12.45,19.85 9.55,19.85\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34aa670\" points=\"59.65,17.55 62.55,17.55 62.55,19.85 59.65,19.85\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34aa740\" points=\"59.65,10.75 62.55,10.75 62.55,13.05 59.65,13.05\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34aa810\" points=\"76.35,9.65 73.35,9.65 73.35,9.2 43.95,9.2 43.95,9.65 37.45,9.65 37.45,7.35 43.95,7.35 43.95,7.8 73.45,7.8 73.45,7.35 76.35,7.35\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34aac30\" points=\"5.5,10.75 8.4,10.75 8.4,13.05 5.5,13.05\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34aad00\" points=\"60.75,19.4 31.35,19.4 31.35,19.85 28.45,19.85 28.45,19.4 11.85,19.4 11.85,18 28.45,18 28.45,17.55 31.35,17.55 31.35,18 60.75,18\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34aaf60\" points=\"60.45,12.6 26.75,12.6 26.75,13.05 23.85,13.05 23.85,12.6 8.2,12.6 8.2,11.2 23.85,11.2 23.85,10.75 26.75,10.75 26.75,11.2 60.45,11.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34ab0b0\" points=\"70.45,9.1 73.35,9.1 73.35,12.8 70.45,12.8\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34abae0\" points=\"77.9,18.25 77.3,18.25 77.3,26.15 75.8,26.15 75.8,18.25 75.2,18.25 75.2,14.95 76.15,14.95 76.15,1.05 77.65,1.05 77.65,14.95 77.9,14.95\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34abc30\" points=\"5.45,8.8 3.8,8.8 3.8,15.9 5.45,15.9 5.45,25.85 3.95,25.85 3.95,17.4 2.3,17.4 2.3,13.25 1.1,13.25 1.1,9.95 2.3,9.95 2.3,7.3 3.95,7.3 3.95,1.05 5.45,1.05\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34abdc0\" points=\"9.65,25.85 8.15,25.85 8.15,13.7 5.9,13.7 5.9,11 8.15,11 8.15,1.05 9.65,1.05\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34abed0\" points=\"23,26.15 21.5,26.15 21.5,16.3 16.55,16.3 16.55,13 20.9,13 20.9,1.05 22.4,1.05 22.4,14.8 23,14.8\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34ac000\" points=\"32.55,26.15 31.05,26.15 31.05,13.95 25.65,13.95 25.65,10.95 24.5,10.95 24.5,7.65 25.65,7.65 25.65,1.05 27.15,1.05 27.15,7.65 27.2,7.65 27.2,12.45 32.55,12.45\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34ac170\" points=\"28.6,18.75 27.6,18.75 27.6,26.15 26.1,26.15 26.1,18.75 25.3,18.75 25.3,16.05 28.6,16.05\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34ac280\" points=\"32.6,10.35 29.3,10.35 29.3,7.65 30.45,7.65 30.45,1.05 31.95,1.05 31.95,7.65 32.6,7.65\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34ac390\" points=\"38.05,14.45 40.8,14.45 40.8,17.75 38.05,17.75\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34ac460\" points=\"45.05,10.95 42.35,10.95 42.35,1.05 43.85,1.05 43.85,7.65 45.05,7.65\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34ac550\" points=\"54.25,26.15 52.75,26.15 52.75,15.7 48.95,15.7 48.95,13.35 47.15,13.35 47.15,10.05 48.95,10.05 48.95,1.05 50.45,1.05 50.45,14.2 54.25,14.2\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34ac6a0\" points=\"57.25,10.35 53.9,10.35 53.9,1.05 55.4,1.05 55.4,7.05 57.25,7.05\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34ac790\" points=\"62.05,12.75 60.85,12.75 60.85,13.35 60.2,13.35 60.2,13.95 59.2,13.95 59.2,26.15 57.7,26.15 57.7,12.45 58.75,12.45 58.75,11.85 59.35,11.85 59.35,1.05 60.85,1.05 60.85,9.45 62.05,9.45\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34ac920\" points=\"64,19.05 63.5,19.05 63.5,26.15 62,26.15 62,19.05 61.3,19.05 61.3,15.75 64,15.75\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34aca30\" points=\"69.25,11.45 67.7,11.45 67.7,26.15 66.2,26.15 66.2,9.65 64.15,9.65 64.15,1.05 65.65,1.05 65.65,8.15 69.25,8.15\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34accb0\" points=\"74.05,12.85 73.1,12.85 73.1,26.15 71.6,26.15 71.6,12.85 71.35,12.85 71.35,9.55 71.6,9.55 71.6,1.05 73.1,1.05 73.1,9.55 74.05,9.55\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34ace00\" points=\"38.75,1.05 40.25,1.05 40.25,15.15 38.75,15.15\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34aced0\" points=\"38.05,17.6 39.55,17.6 39.55,26.15 38.05,26.15\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34acfa0\" points=\"42.9,10.55 44.4,10.55 44.4,26.15 42.9,26.15\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34ad070\" points=\"92.25,26.15 90.75,26.15 90.75,13.25 88.05,13.25 88.05,26.15 86.55,26.15 86.55,13.25 85.1,13.25 85.1,9.95 86.55,9.95 86.55,1.05 88.05,1.05 88.05,9.95 90.75,9.95 90.75,1.05 92.25,1.05\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x34ad200\" points=\"1.5,14.45 3.2,14.45 3.2,16.15 1.5,16.15\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x34ad2d0\" points=\"1.5,11.05 3.2,11.05 3.2,12.75 1.5,12.75\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x34ad3a0\" points=\"15.25,14.45 16.95,14.45 16.95,16.15 15.25,16.15\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x34ad470\" points=\"74.05,11.05 75.75,11.05 75.75,12.75 74.05,12.75\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x34ad540\" points=\"74.05,7.65 75.75,7.65 75.75,9.35 74.05,9.35\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x34ada20\" points=\"88.8,21.25 90.5,21.25 90.5,22.95 88.8,22.95\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x34adaf0\" points=\"88.8,17.85 90.5,17.85 90.5,19.55 88.8,19.55\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x34adbc0\" points=\"88.8,14.45 90.5,14.45 90.5,16.15 88.8,16.15\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x34adc90\" points=\"88.8,4.25 90.5,4.25 90.5,5.95 88.8,5.95\"/>\n",
|
|
"<polygon class=\"l78d44\" id=\"0x34add60\" points=\"0,12.5 92,12.5 92,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l78d44\" id=\"0x34ade30\" points=\"92,12.5 96.6,12.5 96.6,27.2 92,27.2\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34adf00\" points=\"6.05,26.35 7.75,26.35 7.75,28.05 6.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34adfd0\" points=\"79.65,26.35 81.35,26.35 81.35,28.05 79.65,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34ae0a0\" points=\"79.65,-0.85 81.35,-0.85 81.35,0.85 79.65,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34ae170\" points=\"84.25,26.35 85.95,26.35 85.95,28.05 84.25,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34ae240\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34ae310\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34ae3e0\" points=\"88.85,26.35 90.55,26.35 90.55,28.05 88.85,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34ae4b0\" points=\"88.85,-0.85 90.55,-0.85 90.55,0.85 88.85,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34ae580\" points=\"6.1,11.05 7.8,11.05 7.8,12.75 6.1,12.75\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34ae650\" points=\"6.05,-0.85 7.75,-0.85 7.75,0.85 6.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34ae720\" points=\"10.65,26.35 12.35,26.35 12.35,28.05 10.65,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34ae7f0\" points=\"10.15,17.85 11.85,17.85 11.85,19.55 10.15,19.55\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34ae8c0\" points=\"10.65,-0.85 12.35,-0.85 12.35,0.85 10.65,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34ae990\" points=\"15.25,26.35 16.95,26.35 16.95,28.05 15.25,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34aea60\" points=\"15.25,-0.85 16.95,-0.85 16.95,0.85 15.25,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34aeb30\" points=\"19.85,26.35 21.55,26.35 21.55,28.05 19.85,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34acb00\" points=\"19.85,-0.85 21.55,-0.85 21.55,0.85 19.85,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34acbd0\" points=\"24.45,26.35 26.15,26.35 26.15,28.05 24.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34aefb0\" points=\"24.45,11.05 26.15,11.05 26.15,12.75 24.45,12.75\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34af080\" points=\"24.45,-0.85 26.15,-0.85 26.15,0.85 24.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34af150\" points=\"29.05,26.35 30.75,26.35 30.75,28.05 29.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34af220\" points=\"29.05,17.85 30.75,17.85 30.75,19.55 29.05,19.55\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34af2f0\" points=\"29.05,-0.85 30.75,-0.85 30.75,0.85 29.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34af3c0\" points=\"33.65,26.35 35.35,26.35 35.35,28.05 33.65,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34af490\" points=\"33.65,-0.85 35.35,-0.85 35.35,0.85 33.65,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34af560\" points=\"38.25,26.35 39.95,26.35 39.95,28.05 38.25,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34af630\" points=\"41.65,7.65 43.35,7.65 43.35,9.35 41.65,9.35\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34af700\" points=\"38.25,-0.85 39.95,-0.85 39.95,0.85 38.25,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34afbe0\" points=\"42.85,26.35 44.55,26.35 44.55,28.05 42.85,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34afcb0\" points=\"42.85,-0.85 44.55,-0.85 44.55,0.85 42.85,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34afd80\" points=\"47.45,26.35 49.15,26.35 49.15,28.05 47.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34afe50\" points=\"47.45,-0.85 49.15,-0.85 49.15,0.85 47.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34aff20\" points=\"52.05,26.35 53.75,26.35 53.75,28.05 52.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34afff0\" points=\"52.05,-0.85 53.75,-0.85 53.75,0.85 52.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34b00c0\" points=\"56.65,26.35 58.35,26.35 58.35,28.05 56.65,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34b0190\" points=\"60.25,17.85 61.95,17.85 61.95,19.55 60.25,19.55\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34b0260\" points=\"60.25,11.05 61.95,11.05 61.95,12.75 60.25,12.75\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34b0330\" points=\"61.25,-0.85 62.95,-0.85 62.95,0.85 61.25,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34b0400\" points=\"65.85,26.35 67.55,26.35 67.55,28.05 65.85,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34b04d0\" points=\"65.85,-0.85 67.55,-0.85 67.55,0.85 65.85,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34b05a0\" points=\"70.45,26.35 72.15,26.35 72.15,28.05 70.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34b0670\" points=\"74.05,7.65 75.75,7.65 75.75,9.35 74.05,9.35\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34b0740\" points=\"70.45,-0.85 72.15,-0.85 72.15,0.85 70.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34b0810\" points=\"75.05,26.35 76.75,26.35 76.75,28.05 75.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34b08e0\" points=\"75.05,-0.85 76.75,-0.85 76.75,0.85 75.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34b09b0\" points=\"84.25,-0.85 85.95,-0.85 85.95,0.85 84.25,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34b0a80\" points=\"56.65,-0.85 58.35,-0.85 58.35,0.85 56.65,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34b0b50\" points=\"61.25,26.35 62.95,26.35 62.95,28.05 61.25,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34b0c20\" points=\"38.05,7.65 39.75,7.65 39.75,9.35 38.05,9.35\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34b0cf0\" points=\"71.05,10.8 72.75,10.8 72.75,12.5 71.05,12.5\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34b0dc0\" points=\"93.45,-0.85 95.15,-0.85 95.15,0.85 93.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34b0e90\" points=\"93.45,26.35 95.15,26.35 95.15,28.05 93.45,28.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b0f60\" points=\"6.7,11.5 8.4,11.5 8.4,13.2 6.7,13.2\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b1030\" points=\"5.95,2.95 7.65,2.95 7.65,4.65 5.95,4.65\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b1100\" points=\"5.95,21.35 7.65,21.35 7.65,23.05 5.95,23.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b11d0\" points=\"1.75,4.25 3.45,4.25 3.45,5.95 1.75,5.95\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b12a0\" points=\"10.15,18.75 11.85,18.75 11.85,20.45 10.15,20.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b1370\" points=\"10.15,22.15 11.85,22.15 11.85,23.85 10.15,23.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b1440\" points=\"1.6,10.75 3.3,10.75 3.3,12.45 1.6,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b1510\" points=\"25,8.45 26.7,8.45 26.7,10.15 25,10.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b15e0\" points=\"26.1,16.55 27.8,16.55 27.8,18.25 26.1,18.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b16b0\" points=\"28.15,22.45 29.85,22.45 29.85,24.15 28.15,24.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b1780\" points=\"1.75,18.75 3.45,18.75 3.45,20.45 1.75,20.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b1850\" points=\"1.75,22.15 3.45,22.15 3.45,23.85 1.75,23.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b1920\" points=\"19.3,22.55 21,22.55 21,24.25 19.3,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b1e10\" points=\"22.9,3.05 24.6,3.05 24.6,4.75 22.9,4.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b1ee0\" points=\"23.5,22.15 25.2,22.15 25.2,23.85 23.5,23.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b1fb0\" points=\"17.05,13.8 18.75,13.8 18.75,15.5 17.05,15.5\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b2080\" points=\"16.25,2.75 17.95,2.75 17.95,4.45 16.25,4.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b2150\" points=\"10.15,4.25 11.85,4.25 11.85,5.95 10.15,5.95\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b2220\" points=\"28.25,3.05 29.95,3.05 29.95,4.75 28.25,4.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b22f0\" points=\"30.1,8.15 31.8,8.15 31.8,9.85 30.1,9.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b23c0\" points=\"38.55,15.25 40.25,15.25 40.25,16.95 38.55,16.95\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b2490\" points=\"40.7,22.05 42.4,22.05 42.4,23.75 40.7,23.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b2560\" points=\"42.85,8.45 44.55,8.45 44.55,10.15 42.85,10.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b2630\" points=\"44.9,21.25 46.6,21.25 46.6,22.95 44.9,22.95\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b2700\" points=\"45.55,2.95 47.25,2.95 47.25,4.65 45.55,4.65\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b27d0\" points=\"47.65,10.85 49.35,10.85 49.35,12.55 47.65,12.55\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b28a0\" points=\"50.55,22.55 52.25,22.55 52.25,24.25 50.55,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b2970\" points=\"51.15,2.75 52.85,2.75 52.85,4.45 51.15,4.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34aec00\" points=\"54.95,22.15 56.65,22.15 56.65,23.85 54.95,23.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34aecd0\" points=\"55.05,7.85 56.75,7.85 56.75,9.55 55.05,9.55\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34aeda0\" points=\"56.65,2.95 58.35,2.95 58.35,4.65 56.65,4.65\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34aee70\" points=\"59.8,22.5 61.5,22.5 61.5,24.2 59.8,24.2\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34aef40\" points=\"59.85,10.25 61.55,10.25 61.55,11.95 59.85,11.95\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b3250\" points=\"61.8,16.55 63.5,16.55 63.5,18.25 61.8,18.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b3320\" points=\"67.05,8.95 68.75,8.95 68.75,10.65 67.05,10.65\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b33f0\" points=\"67.25,2.75 68.95,2.75 68.95,4.45 67.25,4.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b34c0\" points=\"69.4,22.55 71.1,22.55 71.1,24.25 69.4,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b3590\" points=\"71.85,10.35 73.55,10.35 73.55,12.05 71.85,12.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b3660\" points=\"73.6,21.25 75.3,21.25 75.3,22.95 73.6,22.95\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b3730\" points=\"75.7,15.75 77.4,15.75 77.4,17.45 75.7,17.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b3800\" points=\"77.9,22.55 79.6,22.55 79.6,24.25 77.9,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b38d0\" points=\"78.15,3.75 79.85,3.75 79.85,5.45 78.15,5.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b39a0\" points=\"84.35,22.55 86.05,22.55 86.05,24.25 84.35,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b3a70\" points=\"84.35,19.15 86.05,19.15 86.05,20.85 84.35,20.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b3b40\" points=\"84.35,2.95 86.05,2.95 86.05,4.65 84.35,4.65\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b62b0\" points=\"85.6,10.75 87.3,10.75 87.3,12.45 85.6,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b6320\" points=\"88.55,20.75 90.25,20.75 90.25,22.45 88.55,22.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b63f0\" points=\"88.55,17.35 90.25,17.35 90.25,19.05 88.55,19.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b64c0\" points=\"88.55,5.45 90.25,5.45 90.25,7.15 88.55,7.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b6590\" points=\"92.75,4.65 94.45,4.65 94.45,6.35 92.75,6.35\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b6660\" points=\"35.85,21.25 37.55,21.25 37.55,22.95 35.85,22.95\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b6730\" points=\"92.75,15.75 94.45,15.75 94.45,17.45 92.75,17.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b6800\" points=\"92.75,19.15 94.45,19.15 94.45,20.85 92.75,20.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34b68d0\" points=\"92.75,22.55 94.45,22.55 94.45,24.25 92.75,24.25\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x34b69a0\" points=\"1.35,18.15 12.25,18.15 12.25,24.55 1.35,24.55\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x34b6a70\" points=\"1.35,2.35 12.25,2.35 12.25,6.55 1.35,6.55\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x34b6b40\" points=\"33.05,20.65 47,20.65 47,24.85 33.05,24.85\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x34b6c10\" points=\"80,24.85 50.15,24.85 50.15,16.45 56.95,16.45 56.95,20.65 80,20.65\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x34b6d00\" points=\"94.85,24.85 83.25,24.85 83.25,18.45 84.05,18.45 84.05,14.85 94.85,14.85\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x34b6df0\" points=\"94.85,8.85 84.05,8.85 84.05,6.55 82.95,6.55 82.95,2.35 94.85,2.35\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x34b6ee0\" points=\"80.25,6.55 61.65,6.55 61.65,5.95 53.15,5.95 53.15,8.75 46.45,8.75 46.45,6.55 32.85,6.55 32.85,5.95 24.9,5.95 24.9,6.55 15.65,6.55 15.65,2.35 80.25,2.35\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x34b7050\" points=\"33.35,24.85 18.9,24.85 18.9,20.65 33.3,20.65 33.3,24.8 33.35,24.8\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34b7140\" points=\"0.9,9.75 4.4,9.75 4.4,16.25 0.9,16.25\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34b7210\" points=\"8.4,19.65 3.45,19.65 3.45,24.65 0.9,24.65 0.9,17.95 6.1,17.95 6.1,8.05 0.9,8.05 0.9,3.45 3.45,3.45 3.45,6.35 8.4,6.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34b7360\" points=\"10.15,3.45 11.85,3.45 11.85,24.65 10.15,24.65\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34b7430\" points=\"14.15,6.15 18.75,6.15 18.75,16.65 14.15,16.65\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34b7500\" points=\"77.1,22.55 80.4,22.55 80.4,27 77.1,27\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34b75d0\" points=\"25.4,4.75 22.15,4.75 22.15,18.35 24.4,18.35 24.4,21.35 25.2,21.35 25.2,24.65 22.7,24.65 22.7,20.05 20.45,20.05 20.45,3.05 25.4,3.05\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34b7720\" points=\"23.85,7.65 27.35,7.65 27.35,13.85 23.85,13.85\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34b77f0\" points=\"32.6,9.85 30.75,9.85 30.75,19.65 26.1,19.65 26.1,15.75 29.05,15.75 29.05,7.65 32.6,7.65\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34b7900\" points=\"38.05,7.65 45.95,7.65 45.95,10.15 38.05,10.15\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34b79d0\" points=\"49.35,13.55 34.15,13.55 34.15,24.65 27.35,24.65 27.35,21.35 32.45,21.35 32.45,11.85 34.3,11.85 34.3,4.75 27.45,4.75 27.45,3.05 36,3.05 36,11.85 47.65,11.85 47.65,10.05 49.35,10.05\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34b7b60\" points=\"91.05,23.25 88.55,23.25 88.55,14.45 89,14.45 89,7.95 88.55,7.95 88.55,2.65 91.05,2.65\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34b7c70\" points=\"56.65,24.65 54.95,24.65 54.95,20.05 51.05,20.05 51.05,8.35 50.15,8.35 50.15,2.75 53.65,2.75 53.65,4.45 52.75,4.45 52.75,18.35 56.65,18.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34b7dc0\" points=\"58.45,7.05 61.95,7.05 61.95,13.25 58.45,13.25\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34b7e90\" points=\"64.3,19.55 59.7,19.55 59.7,16.65 54.65,16.65 54.65,7.05 56.75,7.05 56.75,14.95 61.4,14.95 61.4,16.55 64.3,16.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34b7fc0\" points=\"76.45,14.05 71.05,14.05 71.05,10.35 74.05,10.35 74.05,6.35 76.45,6.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34b80b0\" points=\"78.2,17.45 67.7,17.45 67.7,24.65 59,24.65 59,21.25 66,21.25 66,14.85 63.65,14.85 63.65,5.35 55.85,5.35 55.85,2.55 65.35,2.55 65.35,13.15 67.7,13.15 67.7,15.75 78.2,15.75\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34b8640\" points=\"49.55,21.75 53.25,21.75 53.25,26.75 49.55,26.75\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34b8710\" points=\"92,28.05 0,28.05 0,26.35 18.5,26.35 18.5,21.75 21,21.75 21,26.35 92,26.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34b8820\" points=\"0,-0.85 92,-0.85 92,0.85 0,0.85\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34b88f0\" points=\"15.45,-0.3 18.75,-0.3 18.75,4.45 15.45,4.45\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34b89c0\" points=\"87.3,13.25 81.6,13.25 81.6,20.85 75.3,20.85 75.3,23.75 73.6,23.75 73.6,19.15 79.9,19.15 79.9,12.95 78.15,12.95 78.15,4.65 72.35,4.65 72.35,8.65 69.25,8.65 69.25,11.45 67.05,11.45 67.05,6.95 70.65,6.95 70.65,2.95 81.35,2.95 81.35,8.2 81.4,8.2 81.4,9.95 87.3,9.95\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34b8a30\" points=\"37.75,15.25 51.3,15.25 51.3,16.95 37.75,16.95\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34b8b00\" points=\"39.9,22.05 43.2,22.05 43.2,26.9 39.9,26.9\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34b8bd0\" points=\"83.8,0.5 86.85,0.5 86.85,5.45 83.8,5.45\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34b8ca0\" points=\"69.4,21.75 71.9,21.75 71.9,26.9 69.4,26.9\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34b8d70\" points=\"83.8,14.95 86.85,14.95 86.85,27.1 83.8,27.1\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34b8e40\" points=\"67.05,0.65 68.95,0.65 68.95,5.25 67.05,5.25\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34b8f10\" points=\"44.75,0.55 48.05,0.55 48.05,5.45 44.75,5.45\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34b8fe0\" points=\"46.6,23.75 44.9,23.75 44.9,20.35 37.55,20.35 37.55,23.75 35.85,23.75 35.85,18.65 46.6,18.65\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34b90f0\" points=\"5.15,0.6 8.45,0.6 8.45,4.65 5.15,4.65\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34b91c0\" points=\"5.15,21.35 8.45,21.35 8.45,26.65 5.15,26.65\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34b9290\" points=\"13.55,16.65 16.8,16.65 16.8,24.5 13.55,24.5\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34b9360\" points=\"92.75,14.95 95.25,14.95 95.25,27.1 92.75,27.1\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34b9430\" points=\"92.75,0.5 95.25,0.5 95.25,8.4 92.75,8.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,2.4 0,-2.4 92,-2.4 92,2.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,29.6 0,24.8 92,24.8 92,29.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"92,2.4 92,-2.4 96.6,-2.4 96.6,2.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"92,29.6 92,24.8 96.6,24.8 96.6,29.6\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x2c416d0\" points=\"92,28.05 92,26.35 96.6,26.35 96.6,28.05\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x2c416d0\" points=\"92,0.85 92,-0.85 96.6,-0.85 96.6,0.85\"/>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x34a9e90\" text-anchor=\"middle\" transform=\"translate(89.65 22.1) scale(0.2) scale(1 -1)\">Q</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x34a9f40\" text-anchor=\"middle\" transform=\"translate(89.65 18.7) scale(0.2) scale(1 -1)\">Q</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x34a9ff0\" text-anchor=\"middle\" transform=\"translate(89.65 15.3) scale(0.2) scale(1 -1)\">Q</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x34aa0a0\" text-anchor=\"middle\" transform=\"translate(89.65 5.1) scale(0.2) scale(1 -1)\">Q</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x34aa150\" text-anchor=\"middle\" transform=\"translate(74.9 8.5) scale(0.2) scale(1 -1)\">RESET_B</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x34aa250\" text-anchor=\"middle\" transform=\"translate(16.1 15.3) scale(0.2) scale(1 -1)\">D</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x34aa300\" text-anchor=\"middle\" transform=\"translate(2.35 15.3) scale(0.2) scale(1 -1)\">CLK</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x34aa3b0\" text-anchor=\"middle\" transform=\"translate(2.35 11.9) scale(0.2) scale(1 -1)\">CLK</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x34aa460\" text-anchor=\"middle\" transform=\"translate(74.9 11.9) scale(0.2) scale(1 -1)\">RESET_B</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x34ab860\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VGND</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x34ab8f0\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPWR</text>\n",
|
|
"<text class=\"l64t5\" dominant-baseline=\"central\" id=\"0x34ab980\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPB</text>\n",
|
|
"<text class=\"l64t59\" dominant-baseline=\"central\" id=\"0x34aba30\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VNB</text>\n",
|
|
"<text class=\"l83t44\" dominant-baseline=\"text-before-edge\" id=\"0x34b97d0\" text-anchor=\"start\" transform=\"translate(0 0) rotate(90) scale(0.1) scale(1 -1)\">dfrtp_2</text>\n",
|
|
"</g>\n",
|
|
"<g id=\"sky130_fd_sc_hd__xnor2_1\">\n",
|
|
"<polygon class=\"l236d0\" id=\"0x31a6eb0\" points=\"0,0 32.2,0 32.2,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x31a6f80\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x32044c0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l64d16\" id=\"0x3204590\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l122d16\" id=\"0x3213df0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3213ec0\" points=\"31.35,19.55 26.45,19.55 26.45,22.95 22.65,22.95 22.65,21.25 24.75,21.25 24.75,17.55 29.65,17.55 29.65,8.25 28.15,8.25 28.15,3.45 31.35,3.45\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3202c40\" points=\"27.95,15.85 23.05,15.85 23.05,19.55 8.85,19.55 8.85,24.65 5.55,24.65 5.55,19.55 0.85,19.55 0.85,2.8 5.5,2.8 5.5,8.25 2.55,8.25 2.55,17.85 21.35,17.85 21.35,14.15 26.25,14.15 26.25,9.95 27.95,9.95\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3202cb0\" points=\"26.45,8.25 23.05,8.25 23.05,9.05 13.95,9.05 13.95,2.55 17.25,2.55 17.25,7.35 21.35,7.35 21.35,6.55 24.15,6.55 24.15,2.55 26.45,2.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3189480\" points=\"23.95,12.45 19.65,12.45 19.65,16.15 4.25,16.15 4.25,9.95 6.7,9.95 6.7,14.45 17.95,14.45 17.95,10.75 23.95,10.75\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x30a44e0\" points=\"9.3,10.75 16.25,10.75 16.25,12.75 9.3,12.75\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x30a45b0\" points=\"32.2,28.05 0,28.05 0,26.35 0.85,26.35 0.85,21.25 3.85,21.25 3.85,26.35 10.55,26.35 10.55,21.25 16.85,21.25 16.85,26.35 28.15,26.35 28.15,21.25 31.15,21.25 31.15,26.35 32.2,26.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x30a4620\" points=\"32.2,0.85 22.45,0.85 22.45,4.75 18.95,4.75 18.95,0.85 12.25,0.85 12.25,9.05 10.55,9.05 10.55,0.85 0,0.85 0,-0.85 32.2,-0.85\"/>\n",
|
|
"<polygon class=\"l95d20\" id=\"0x30a2640\" points=\"0,9.75 32.2,9.75 32.2,13.45 0,13.45\"/>\n",
|
|
"<polygon class=\"l78d44\" id=\"0x30e6c70\" points=\"0,12.5 32.2,12.5 32.2,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l93d44\" id=\"0x30e6d40\" points=\"0,-1.9 32.2,-1.9 32.2,10.15 0,10.15\"/>\n",
|
|
"<polygon class=\"l64d20\" id=\"0x315d840\" points=\"-1.9,13.05 34.1,13.05 34.1,29.1 -1.9,29.1\"/>\n",
|
|
"<polygon class=\"l94d20\" id=\"0x315d8b0\" points=\"0,13.55 32.2,13.55 32.2,29.1 0,29.1\"/>\n",
|
|
"<polygon class=\"l81d4\" id=\"0x31ab4f0\" points=\"0,0 32.2,0 32.2,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x31ab5c0\" points=\"29.05,-0.85 30.75,-0.85 30.75,0.85 29.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x31c4120\" points=\"29.05,26.35 30.75,26.35 30.75,28.05 29.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x31c4190\" points=\"24.45,-0.85 26.15,-0.85 26.15,0.85 24.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x31c4260\" points=\"24.45,26.35 26.15,26.35 26.15,28.05 24.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3252aa0\" points=\"19.85,-0.85 21.55,-0.85 21.55,0.85 19.85,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3252b70\" points=\"19.85,26.35 21.55,26.35 21.55,28.05 19.85,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3288110\" points=\"15.25,-0.85 16.95,-0.85 16.95,0.85 15.25,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x32881e0\" points=\"15.25,26.35 16.95,26.35 16.95,28.05 15.25,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3368890\" points=\"10.65,-0.85 12.35,-0.85 12.35,0.85 10.65,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3368900\" points=\"10.65,26.35 12.35,26.35 12.35,28.05 10.65,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x33689d0\" points=\"6.05,-0.85 7.75,-0.85 7.75,0.85 6.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x336c560\" points=\"6.05,26.35 7.75,26.35 7.75,28.05 6.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x336c630\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x33fa4e0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x33fa5b0\" points=\"6.05,14.45 7.75,14.45 7.75,16.15 6.05,16.15\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x33fa620\" points=\"10.65,11.05 12.35,11.05 12.35,12.75 10.65,12.75\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x341d190\" points=\"29.05,17.85 30.75,17.85 30.75,19.55 29.05,19.55\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x341d260\" points=\"19.55,2.35 30.85,2.35 30.85,8.85 19.55,8.85\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x3429b80\" points=\"1.35,14.85 30.85,14.85 30.85,24.85 1.35,24.85\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x3429c50\" points=\"2.35,2.35 16.85,2.35 16.85,8.85 2.35,8.85\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x344ae80\" points=\"28.45,13.25 27.25,13.25 27.25,26.15 25.75,26.15 25.75,9.95 26.35,9.95 26.35,1.05 27.85,1.05 27.85,9.95 28.45,9.95\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x344afb0\" points=\"23.65,13.25 22.45,13.25 22.45,26.15 20.95,26.15 20.95,9.95 22.15,9.95 22.15,1.05 23.65,1.05\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x344b020\" points=\"18.85,26.15 17.35,26.15 17.35,13.25 10.05,13.25 10.05,26.15 8.55,26.15 8.55,1.05 10.05,1.05 10.05,9.95 12.75,9.95 12.75,1.05 14.25,1.05 14.25,9.95 18.85,9.95\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x344b090\" points=\"6.45,13.25 5.85,13.25 5.85,26.15 4.35,26.15 4.35,13.25 3.75,13.25 3.75,9.95 4.95,9.95 4.95,1.05 6.45,1.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x344b1c0\" points=\"28.75,4.7 30.45,4.7 30.45,6.4 28.75,6.4\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x344b230\" points=\"28.15,22.05 29.85,22.05 29.85,23.75 28.15,23.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x344b300\" points=\"26.25,10.75 27.95,10.75 27.95,12.45 26.25,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x344b3d0\" points=\"24.15,4.7 25.85,4.7 25.85,6.4 24.15,6.4\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x344b4a0\" points=\"23.55,21.25 25.25,21.25 25.25,22.95 23.55,22.95\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34497f0\" points=\"21.45,10.75 23.15,10.75 23.15,12.45 21.45,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34498c0\" points=\"19.95,3.05 21.65,3.05 21.65,4.75 19.95,4.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3449990\" points=\"15.15,22.05 16.85,22.05 16.85,23.75 15.15,23.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3449a60\" points=\"14.75,3.05 16.45,3.05 16.45,4.75 14.75,4.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3449b30\" points=\"14.75,6.45 16.45,6.45 16.45,8.15 14.75,8.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3449c00\" points=\"13.5,10.75 15.2,10.75 15.2,12.45 13.5,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3449cd0\" points=\"10.55,3.05 12.25,3.05 12.25,4.75 10.55,4.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3449da0\" points=\"10.55,6.45 12.25,6.45 12.25,8.15 10.55,8.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3449e70\" points=\"10.55,22.05 12.25,22.05 12.25,23.75 10.55,23.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3448850\" points=\"10.1,10.75 11.8,10.75 11.8,12.45 10.1,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3448920\" points=\"6.35,18.75 8.05,18.75 8.05,20.45 6.35,20.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34489f0\" points=\"6.35,22.15 8.05,22.15 8.05,23.85 6.35,23.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3448ac0\" points=\"4.25,10.75 5.95,10.75 5.95,12.45 4.25,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3448b90\" points=\"2.75,3.05 4.45,3.05 4.45,4.75 2.75,4.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3448c60\" points=\"2.75,6.45 4.45,6.45 4.45,8.15 2.75,8.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3448d30\" points=\"2.15,22.55 3.85,22.55 3.85,24.25 2.15,24.25\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,2.4 0,-2.4 32.2,-2.4 32.2,2.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,29.6 0,24.8 32.2,24.8 32.2,29.6\"/>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x344e8f0\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VGND</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x344e980\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPWR</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x344ea10\" text-anchor=\"middle\" transform=\"translate(6.9 15.3) scale(0.1) scale(1 -1)\">B</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x344eaa0\" text-anchor=\"middle\" transform=\"translate(29.9 18.7) scale(0.1) scale(1 -1)\">Y</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x344eb30\" text-anchor=\"middle\" transform=\"translate(11.5 11.9) scale(0.1) scale(1 -1)\">A</text>\n",
|
|
"<text class=\"l64t5\" dominant-baseline=\"central\" id=\"0x344ebc0\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPB</text>\n",
|
|
"<text class=\"l64t59\" dominant-baseline=\"central\" id=\"0x344ec50\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VNB</text>\n",
|
|
"<text class=\"l83t44\" dominant-baseline=\"text-before-edge\" id=\"0x344ece0\" text-anchor=\"start\" transform=\"translate(0 0) rotate(90) scale(0.1) scale(1 -1)\">xnor2_1</text>\n",
|
|
"</g>\n",
|
|
"<g id=\"sky130_fd_sc_hd__decap_4\">\n",
|
|
"<polygon class=\"l236d0\" id=\"0x3473d50\" points=\"0,0 18.4,0 18.4,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x3473e20\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x3473ef0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l64d16\" id=\"0x3473fc0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l122d16\" id=\"0x3474090\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l81d4\" id=\"0x3474160\" points=\"0,0 18.4,0 18.4,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l78d44\" id=\"0x3474750\" points=\"0,12.5 18.4,12.5 18.4,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l93d44\" id=\"0x3474820\" points=\"0,-1.9 18.4,-1.9 18.4,10.15 0,10.15\"/>\n",
|
|
"<polygon class=\"l64d20\" id=\"0x34748f0\" points=\"-1.9,13.05 20.3,13.05 20.3,29.1 -1.9,29.1\"/>\n",
|
|
"<polygon class=\"l94d20\" id=\"0x3474a50\" points=\"0,14.85 18.4,14.85 18.4,29.1 0,29.1\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3474b20\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3474bf0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3474cc0\" points=\"6.05,26.35 7.75,26.35 7.75,28.05 6.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3474d90\" points=\"6.05,-0.85 7.75,-0.85 7.75,0.85 6.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3474e60\" points=\"10.65,26.35 12.35,26.35 12.35,28.05 10.65,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3474f30\" points=\"10.65,-0.85 12.35,-0.85 12.35,0.85 10.65,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3475000\" points=\"15.25,26.35 16.95,26.35 16.95,28.05 15.25,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3475180\" points=\"15.25,-0.85 16.95,-0.85 16.95,0.85 15.25,0.85\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x3475250\" points=\"1.35,16.15 17.05,16.15 17.05,24.85 1.35,24.85\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x3475320\" points=\"1.35,2.35 17.05,2.35 17.05,7.85 1.35,7.85\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34753f0\" points=\"14.45,26.15 3.95,26.15 3.95,14.55 1.05,14.55 1.05,11.25 8.15,11.25 8.15,14.85 14.45,14.85\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x3475850\" points=\"17.35,12.75 10.25,12.75 10.25,9.15 3.95,9.15 3.95,1.05 14.45,1.05 14.45,9.45 17.35,9.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3475960\" points=\"14.95,4.25 16.65,4.25 16.65,5.95 14.95,5.95\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34759d0\" points=\"1.75,22.55 3.45,22.55 3.45,24.25 1.75,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3475aa0\" points=\"1.75,17.45 3.45,17.45 3.45,19.15 1.75,19.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3475b70\" points=\"1.75,4.25 3.45,4.25 3.45,5.95 1.75,5.95\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3475c40\" points=\"1.85,12.05 3.55,12.05 3.55,13.75 1.85,13.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3475d10\" points=\"14.85,10.25 16.55,10.25 16.55,11.95 14.85,11.95\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3475de0\" points=\"14.95,22.55 16.65,22.55 16.65,24.25 14.95,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3475eb0\" points=\"14.95,17.45 16.65,17.45 16.65,19.15 14.95,19.15\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3475f80\" points=\"18.4,28.05 0,28.05 0,26.35 0.85,26.35 0.85,15.45 10.05,15.45 10.05,10.25 17.55,10.25 17.55,26.35 18.4,26.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34760b0\" points=\"18.4,0.85 17.55,0.85 17.55,8.55 8.35,8.55 8.35,13.75 0.85,13.75 0.85,0.85 0,0.85 0,-0.85 18.4,-0.85\"/>\n",
|
|
"<polygon class=\"l95d20\" id=\"0x34761e0\" points=\"18.4,13.45 4.55,13.45 4.55,14.75 0,14.75 0,9.75 13.85,9.75 13.85,9.25 18.4,9.25\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,29.6 0,24.8 18.4,24.8 18.4,29.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,2.4 0,-2.4 18.4,-2.4 18.4,2.4\"/>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x3474230\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VGND</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x34742e0\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPWR</text>\n",
|
|
"<text class=\"l64t5\" dominant-baseline=\"central\" id=\"0x3474610\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPB</text>\n",
|
|
"<text class=\"l64t59\" dominant-baseline=\"central\" id=\"0x34746a0\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VNB</text>\n",
|
|
"<text class=\"l83t44\" dominant-baseline=\"text-before-edge\" id=\"0x3476460\" text-anchor=\"start\" transform=\"translate(0 0) rotate(90) scale(0.1) scale(1 -1)\">decap_4</text>\n",
|
|
"</g>\n",
|
|
"<g id=\"sky130_fd_sc_hd__a31o_1\">\n",
|
|
"<polygon class=\"l236d0\" id=\"0x346a3a0\" points=\"0,0 32.2,0 32.2,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l64d16\" id=\"0x346a470\" points=\"1.5,26.35 3.2,26.35 3.2,28.05 1.5,28.05\"/>\n",
|
|
"<polygon class=\"l122d16\" id=\"0x346a540\" points=\"1.5,-0.85 3.2,-0.85 3.2,0.85 1.5,0.85\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x346a610\" points=\"1.5,-0.85 3.2,-0.85 3.2,0.85 1.5,0.85\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x346a6e0\" points=\"1.5,26.35 3.2,26.35 3.2,28.05 1.5,28.05\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x346a7b0\" points=\"12.4,16.55 10.25,16.55 10.25,13.25 9.35,13.25 9.35,9.95 12.4,9.95\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x346a8a0\" points=\"32.2,28.05 0,28.05 0,26.35 5.95,26.35 5.95,14.95 8.45,14.95 8.45,26.35 15.15,26.35 15.15,21.65 18.45,21.65 18.45,26.35 32.2,26.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x346a9f0\" points=\"32.2,0.85 28.05,0.85 28.05,4.85 24.75,4.85 24.75,0.85 9.25,0.85 9.25,4.85 5.95,4.85 5.95,0.85 0,0.85 0,-0.85 32.2,-0.85\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3478d70\" points=\"29.6,19.95 28.35,19.95 28.35,24.25 25.05,24.25 25.05,18.25 27.9,18.25 27.9,8.25 7.65,8.25 7.65,13.25 4.55,13.25 4.55,9.95 5.95,9.95 5.95,6.55 19.75,6.55 19.75,3.15 23.05,3.15 23.05,6.55 29.6,6.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3478e70\" points=\"23.75,9.95 26.2,9.95 26.2,16.55 23.75,16.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3478f40\" points=\"23.25,24.15 20.75,24.15 20.75,19.95 12.85,19.95 12.85,24.15 10.35,24.15 10.35,18.25 23.25,18.25\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3479050\" points=\"18.95,9.95 21.6,9.95 21.6,16.55 18.95,16.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3479120\" points=\"14.15,9.95 17,9.95 17,16.55 14.15,16.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34791f0\" points=\"4.25,8.1 2.85,8.1 2.85,15.75 4.25,15.75 4.25,24.25 0.95,24.25 0.95,3 4.25,3\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x3479f30\" points=\"6.75,13.25 5.5,13.25 5.5,26.15 4,26.15 4,1.05 5.5,1.05 5.5,9.95 6.75,9.95\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x347a040\" points=\"11.55,13.25 10.45,13.25 10.45,26.15 8.95,26.15 8.95,13.25 8.85,13.25 8.85,9.95 8.95,9.95 8.95,1.05 10.45,1.05 10.45,9.95 11.55,9.95\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x347a190\" points=\"16.35,13.25 15.15,13.25 15.15,26.15 13.65,26.15 13.65,1.05 15.15,1.05 15.15,9.95 16.35,9.95\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x347a3b0\" points=\"21.15,13.25 19.95,13.25 19.95,26.15 18.45,26.15 18.45,1.05 19.95,1.05 19.95,9.95 21.15,9.95\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x347a4c0\" points=\"25.95,13.25 24.75,13.25 24.75,26.15 23.25,26.15 23.25,1.05 24.75,1.05 24.75,9.95 25.95,9.95\"/>\n",
|
|
"<polygon class=\"l95d20\" id=\"0x347e0d0\" points=\"0,9.75 32.2,9.75 32.2,13.45 0,13.45\"/>\n",
|
|
"<polygon class=\"l81d4\" id=\"0x347e1a0\" points=\"0,0 32.2,0 32.2,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x347e270\" points=\"1.5,21.25 3.2,21.25 3.2,22.95 1.5,22.95\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x347e340\" points=\"1.5,17.85 3.2,17.85 3.2,19.55 1.5,19.55\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x347e410\" points=\"1.5,4.25 3.2,4.25 3.2,5.95 1.5,5.95\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x347e4e0\" points=\"10.7,14.45 12.4,14.45 12.4,16.15 10.7,16.15\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x347e5b0\" points=\"10.7,11.05 12.4,11.05 12.4,12.75 10.7,12.75\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x347e680\" points=\"15.3,14.45 17,14.45 17,16.15 15.3,16.15\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x347e750\" points=\"15.3,11.05 17,11.05 17,12.75 15.3,12.75\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x347e820\" points=\"19.9,14.45 21.6,14.45 21.6,16.15 19.9,16.15\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x347e8f0\" points=\"19.9,11.05 21.6,11.05 21.6,12.75 19.9,12.75\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x347e9c0\" points=\"24.5,14.45 26.2,14.45 26.2,16.15 24.5,16.15\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x347ea90\" points=\"24.5,11.05 26.2,11.05 26.2,12.75 24.5,12.75\"/>\n",
|
|
"<polygon class=\"l78d44\" id=\"0x347eb60\" points=\"0,12.5 32.2,12.5 32.2,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l93d44\" id=\"0x347a530\" points=\"0,-1.9 32.2,-1.9 32.2,10.15 0,10.15\"/>\n",
|
|
"<polygon class=\"l64d20\" id=\"0x347a260\" points=\"-1.9,13.05 34.1,13.05 34.1,29.1 -1.9,29.1\"/>\n",
|
|
"<polygon class=\"l94d20\" id=\"0x347ee40\" points=\"0,13.55 32.2,13.55 32.2,29.1 0,29.1\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x347ef10\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x347efe0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x347f0b0\" points=\"6.05,26.35 7.75,26.35 7.75,28.05 6.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x347f180\" points=\"6.05,-0.85 7.75,-0.85 7.75,0.85 6.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x347f250\" points=\"10.65,26.35 12.35,26.35 12.35,28.05 10.65,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x347f320\" points=\"10.65,-0.85 12.35,-0.85 12.35,0.85 10.65,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x347f3f0\" points=\"15.25,26.35 16.95,26.35 16.95,28.05 15.25,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x347f4c0\" points=\"15.25,-0.85 16.95,-0.85 16.95,0.85 15.25,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x347f590\" points=\"19.85,26.35 21.55,26.35 21.55,28.05 19.85,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x347f660\" points=\"19.85,-0.85 21.55,-0.85 21.55,0.85 19.85,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x347f730\" points=\"24.45,26.35 26.15,26.35 26.15,28.05 24.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x347f800\" points=\"24.45,-0.85 26.15,-0.85 26.15,0.85 24.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3481820\" points=\"29.05,26.35 30.75,26.35 30.75,28.05 29.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34818f0\" points=\"29.05,-0.85 30.75,-0.85 30.75,0.85 29.05,0.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34819c0\" points=\"1.75,19.15 3.45,19.15 3.45,20.85 1.75,20.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3481a90\" points=\"1.75,22.55 3.45,22.55 3.45,24.25 1.75,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3481b60\" points=\"1.75,15.75 3.45,15.75 3.45,17.45 1.75,17.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3481c30\" points=\"1.75,6.4 3.45,6.4 3.45,8.1 1.75,8.1\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3481d00\" points=\"1.75,3 3.45,3 3.45,4.7 1.75,4.7\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3481dd0\" points=\"4.55,10.75 6.25,10.75 6.25,12.45 4.55,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3481ea0\" points=\"6.35,22.55 8.05,22.55 8.05,24.25 6.35,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3481f70\" points=\"9.35,10.75 11.05,10.75 11.05,12.45 9.35,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3482040\" points=\"11.15,21.65 12.85,21.65 12.85,23.35 11.15,23.35\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3482110\" points=\"11.15,18.25 12.85,18.25 12.85,19.95 11.15,19.95\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34821e0\" points=\"14.15,10.75 15.85,10.75 15.85,12.45 14.15,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34822b0\" points=\"15.95,21.65 17.65,21.65 17.65,23.35 15.95,23.35\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3482380\" points=\"18.95,10.75 20.65,10.75 20.65,12.45 18.95,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3482450\" points=\"20.55,3.15 22.25,3.15 22.25,4.85 20.55,4.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3482520\" points=\"20.75,21.65 22.45,21.65 22.45,23.35 20.75,23.35\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3482a00\" points=\"20.75,18.25 22.45,18.25 22.45,19.95 20.75,19.95\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3482ad0\" points=\"25.55,3.15 27.25,3.15 27.25,4.85 25.55,4.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3482ba0\" points=\"25.85,22.55 27.55,22.55 27.55,24.25 25.85,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3482c70\" points=\"25.85,18.25 27.55,18.25 27.55,19.95 25.85,19.95\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3482d40\" points=\"23.75,10.75 25.45,10.75 25.45,12.45 23.75,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3482e10\" points=\"20.55,6.55 22.25,6.55 22.25,8.25 20.55,8.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3482ee0\" points=\"6.75,3.15 8.45,3.15 8.45,4.85 6.75,4.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3482fb0\" points=\"6.35,15.75 8.05,15.75 8.05,17.45 6.35,17.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3483080\" points=\"6.35,19.15 8.05,19.15 8.05,20.85 6.35,20.85\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x347fc80\" points=\"1.35,14.85 27.95,14.85 27.95,24.85 1.35,24.85\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x347fd50\" points=\"1.35,2.35 27.95,2.35 27.95,8.85 1.35,8.85\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,29.6 0,24.8 32.2,24.8 32.2,29.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,2.4 0,-2.4 32.2,-2.4 32.2,2.4\"/>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3479300\" text-anchor=\"middle\" transform=\"translate(16.15 15.3) scale(0.1) scale(1 -1)\">A2</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x34793b0\" text-anchor=\"middle\" transform=\"translate(25.35 15.3) scale(0.1) scale(1 -1)\">B1</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3479460\" text-anchor=\"middle\" transform=\"translate(20.75 11.9) scale(0.1) scale(1 -1)\">A1</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3479510\" text-anchor=\"middle\" transform=\"translate(11.55 15.3) scale(0.1) scale(1 -1)\">A3</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x34795c0\" text-anchor=\"middle\" transform=\"translate(16.15 11.9) scale(0.1) scale(1 -1)\">A2</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x34796c0\" text-anchor=\"middle\" transform=\"translate(11.55 11.9) scale(0.1) scale(1 -1)\">A3</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3479770\" text-anchor=\"middle\" transform=\"translate(2.35 22.1) scale(0.1) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3479820\" text-anchor=\"middle\" transform=\"translate(20.75 15.3) scale(0.1) scale(1 -1)\">A1</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x34798d0\" text-anchor=\"middle\" transform=\"translate(2.35 18.7) scale(0.1) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3479a10\" text-anchor=\"middle\" transform=\"translate(2.35 5.1) scale(0.1) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3479ac0\" text-anchor=\"middle\" transform=\"translate(25.35 11.9) scale(0.1) scale(1 -1)\">B1</text>\n",
|
|
"<text class=\"l64t5\" dominant-baseline=\"central\" id=\"0x3479df0\" text-anchor=\"middle\" transform=\"translate(2.35 27.2) scale(0.1) scale(1 -1)\">VPB</text>\n",
|
|
"<text class=\"l64t59\" dominant-baseline=\"central\" id=\"0x3479e80\" text-anchor=\"middle\" transform=\"translate(2.35 0) scale(0.1) scale(1 -1)\">VNB</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x347fe20\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VGND</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x347feb0\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPWR</text>\n",
|
|
"<text class=\"l83t44\" dominant-baseline=\"text-before-edge\" id=\"0x347ff60\" text-anchor=\"start\" transform=\"translate(0 0) rotate(90) scale(0.1) scale(1 -1)\">a31o_1</text>\n",
|
|
"</g>\n",
|
|
"<g id=\"sky130_fd_sc_hd__and2b_1\">\n",
|
|
"<polygon class=\"l236d0\" id=\"0x345a560\" points=\"0,0 27.6,0 27.6,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x345a630\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x345a700\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l64d16\" id=\"0x345a7d0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l122d16\" id=\"0x345a8a0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l94d20\" id=\"0x345a970\" points=\"27.6,29.1 0,29.1 0,13.55 13.5,13.55 13.5,19.35 18.4,19.35 18.4,13.55 27.6,13.55\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x345aa80\" points=\"24.15,4.65 25.85,4.65 25.85,6.35 24.15,6.35\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x345ab50\" points=\"24.15,17.5 25.85,17.5 25.85,19.2 24.15,19.2\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x345ac20\" points=\"24.15,21.15 25.85,21.15 25.85,22.85 24.15,22.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x345ad80\" points=\"21.35,10.75 23.05,10.75 23.05,12.45 21.35,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x345ae50\" points=\"19.95,3.85 21.65,3.85 21.65,5.55 19.95,5.55\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x345af20\" points=\"19.15,22.45 20.85,22.45 20.85,24.15 19.15,24.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x345aff0\" points=\"15.75,22.45 17.45,22.45 17.45,24.15 15.75,24.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x345b0c0\" points=\"15.6,16.45 17.3,16.45 17.3,18.15 15.6,18.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x345b190\" points=\"10.95,21.4 12.65,21.4 12.65,23.1 10.95,23.1\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x345b260\" points=\"11.15,3.6 12.85,3.6 12.85,5.3 11.15,5.3\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x345b330\" points=\"9.8,8.85 11.5,8.85 11.5,10.55 9.8,10.55\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x345ac90\" points=\"5.95,3.6 7.65,3.6 7.65,5.3 5.95,5.3\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x345b510\" points=\"5.95,21.85 7.65,21.85 7.65,23.55 5.95,23.55\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x345b5e0\" points=\"2.75,10.75 4.45,10.75 4.45,12.45 2.75,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x345b930\" points=\"1.75,3.6 3.45,3.6 3.45,5.3 1.75,5.3\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x345ba00\" points=\"1.75,21.4 3.45,21.4 3.45,23.1 1.75,23.1\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x345bad0\" points=\"26.25,8.85 19.55,8.85 19.55,6.55 10.75,6.55 10.75,2.35 26.25,2.35\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x345bbc0\" points=\"26.25,24.85 1.35,24.85 1.35,20.65 19.65,20.65 19.65,14.85 26.25,14.85\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x345bcb0\" points=\"1.35,2.35 8.05,2.35 8.05,6.55 1.35,6.55\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x345bd80\" points=\"1.45,14.45 3.15,14.45 3.15,16.15 1.45,16.15\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x345be50\" points=\"24.45,4.25 26.15,4.25 26.15,5.95 24.45,5.95\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x345bf20\" points=\"24.45,17.85 26.15,17.85 26.15,19.55 24.45,19.55\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x345bff0\" points=\"24.45,21.25 26.15,21.25 26.15,22.95 24.45,22.95\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x345c0c0\" points=\"19.85,17.85 21.55,17.85 21.55,19.55 19.85,19.55\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x345c190\" points=\"15.25,17.85 16.95,17.85 16.95,19.55 15.25,19.55\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x345c260\" points=\"1.45,7.65 3.15,7.65 3.15,9.35 1.45,9.35\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x345c330\" points=\"1.45,11.05 3.15,11.05 3.15,12.75 1.45,12.75\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x345b400\" points=\"24.45,-0.85 26.15,-0.85 26.15,0.85 24.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x345c610\" points=\"24.45,26.35 26.15,26.35 26.15,28.05 24.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x345c6e0\" points=\"19.85,-0.85 21.55,-0.85 21.55,0.85 19.85,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x345c7b0\" points=\"19.85,26.35 21.55,26.35 21.55,28.05 19.85,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x345c880\" points=\"15.25,-0.85 16.95,-0.85 16.95,0.85 15.25,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x345c950\" points=\"15.25,26.35 16.95,26.35 16.95,28.05 15.25,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x345ca20\" points=\"10.65,-0.85 12.35,-0.85 12.35,0.85 10.65,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x346ad80\" points=\"10.65,26.35 12.35,26.35 12.35,28.05 10.65,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x346ae50\" points=\"6.05,-0.85 7.75,-0.85 7.75,0.85 6.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x346af20\" points=\"6.05,26.35 7.75,26.35 7.75,28.05 6.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x346aff0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x346b0c0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l64d20\" id=\"0x346b190\" points=\"-1.9,13.05 29.5,13.05 29.5,29.1 -1.9,29.1\"/>\n",
|
|
"<polygon class=\"l93d44\" id=\"0x346b260\" points=\"0,-1.9 27.6,-1.9 27.6,10.15 0,10.15\"/>\n",
|
|
"<polygon class=\"l95d20\" id=\"0x346b330\" points=\"27.6,13.45 18.65,13.45 18.65,19.15 14.15,19.15 14.15,13.45 0,13.45 0,9.75 7.25,9.75 7.25,7.85 17.6,7.85 17.6,9.75 27.6,9.75\"/>\n",
|
|
"<polygon class=\"l78d44\" id=\"0x346b480\" points=\"0,12.5 27.6,12.5 27.6,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l81d4\" id=\"0x346b550\" points=\"0,0 27.6,0 27.6,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x346b620\" points=\"26.55,23.65 23.5,23.65 23.5,15.8 24.8,15.8 24.8,7.75 24.15,7.75 24.15,2.55 26.55,2.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x346b730\" points=\"27.6,28.05 0,28.05 0,26.35 5.15,26.35 5.15,21.85 8.45,21.85 8.45,26.35 14.85,26.35 14.85,21.65 21.7,21.65 21.7,26.35 27.6,26.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x346b880\" points=\"23.05,13.25 18.8,13.25 18.8,14.75 13.05,14.75 13.05,24.2 10.2,24.2 10.2,13.05 13.2,13.05 13.2,6.1 11.15,6.1 11.15,2.7 12.85,2.7 12.85,4.15 14.9,4.15 14.9,9.45 23.05,9.45\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x346ba10\" points=\"14.8,16.45 21.75,16.45 21.75,19.55 14.8,19.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x346bae0\" points=\"11.5,11.35 8.5,11.35 8.5,20.15 3.45,20.15 3.45,24.45 1.75,24.45 1.75,17.85 6.15,17.85 6.15,6.55 5.95,6.55 5.95,2.8 8.35,2.8 8.35,8.05 11.5,8.05\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x346bb50\" points=\"27.6,0.85 22.45,0.85 22.45,5.8 18.5,5.8 18.5,0.85 4.25,0.85 4.25,5.9 0.9,5.9 0.9,0.85 0,0.85 0,-0.85 27.6,-0.85\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x346bca0\" points=\"1.45,7.65 4.45,7.65 4.45,16.15 1.45,16.15\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x346bd70\" points=\"23.65,26.15 22.15,26.15 22.15,13.25 20.85,13.25 20.85,9.85 22.15,9.85 22.15,1.05 23.65,1.05\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x346be80\" points=\"18.75,14.1 18.15,14.1 18.15,18.95 14.75,18.95 14.75,26.15 13.25,26.15 13.25,15.25 14.45,15.25 14.45,11.65 17.25,11.65 17.25,1.05 18.75,1.05\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x344bff0\" points=\"14.85,9.55 12.3,9.55 12.3,11.35 10.35,11.35 10.35,26.15 8.85,26.15 8.85,8.05 13.35,8.05 13.35,1.05 14.85,1.05\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x344c120\" points=\"5.45,26.15 3.95,26.15 3.95,13.25 1.95,13.25 1.95,9.95 3.95,9.95 3.95,1.05 5.45,1.05\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,2.4 0,-2.4 27.6,-2.4 27.6,2.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,29.6 0,24.8 27.6,24.8 27.6,29.6\"/>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x344c230\" text-anchor=\"middle\" transform=\"translate(25.3 18.7) scale(1 -1) scale(0.1) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x344c2c0\" text-anchor=\"middle\" transform=\"translate(2.3 15.3) scale(0.2) scale(1 -1)\">A_N</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x344c350\" text-anchor=\"middle\" transform=\"translate(2.3 8.5) scale(0.2) scale(1 -1)\">A_N</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x344c3e0\" text-anchor=\"middle\" transform=\"translate(16.1 18.7) scale(0.2) scale(1 -1)\">B</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x344c470\" text-anchor=\"middle\" transform=\"translate(25.3 5.1) scale(1 -1) scale(0.1) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x344c500\" text-anchor=\"middle\" transform=\"translate(2.3 11.9) scale(0.2) scale(1 -1)\">A_N</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x344c590\" text-anchor=\"middle\" transform=\"translate(20.7 18.7) scale(0.2) scale(1 -1)\">B</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x344c620\" text-anchor=\"middle\" transform=\"translate(25.3 22.1) scale(1 -1) scale(0.1) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x344c6b0\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VGND</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x344c7d0\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPWR</text>\n",
|
|
"<text class=\"l64t5\" dominant-baseline=\"central\" id=\"0x344cac0\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPB</text>\n",
|
|
"<text class=\"l64t59\" dominant-baseline=\"central\" id=\"0x344cb50\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VNB</text>\n",
|
|
"<text class=\"l83t44\" dominant-baseline=\"text-before-edge\" id=\"0x344cbe0\" text-anchor=\"start\" transform=\"translate(0 0) rotate(90) scale(0.1) scale(1 -1)\">and2b_1</text>\n",
|
|
"</g>\n",
|
|
"<g id=\"sky130_fd_sc_hd__and3_1\">\n",
|
|
"<polygon class=\"l236d0\" id=\"0x34619b0\" points=\"0,0 23,0 23,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x3461a80\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x3461b50\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l64d16\" id=\"0x3461c20\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l122d16\" id=\"0x3461cf0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l81d4\" id=\"0x3461dc0\" points=\"0,0 23,0 23,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l78d44\" id=\"0x3463770\" points=\"0,12.5 23,12.5 23,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34637e0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34638b0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3463a10\" points=\"6.05,26.35 7.75,26.35 7.75,28.05 6.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3463ae0\" points=\"6.05,-0.85 7.75,-0.85 7.75,0.85 6.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3463bb0\" points=\"10.65,26.35 12.35,26.35 12.35,28.05 10.65,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3463c80\" points=\"10.65,-0.85 12.35,-0.85 12.35,0.85 10.65,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3463d50\" points=\"15.25,26.35 16.95,26.35 16.95,28.05 15.25,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3463e20\" points=\"15.25,-0.85 16.95,-0.85 16.95,0.85 15.25,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3463ef0\" points=\"19.85,26.35 21.55,26.35 21.55,28.05 19.85,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3463fc0\" points=\"19.85,-0.85 21.55,-0.85 21.55,0.85 19.85,0.85\"/>\n",
|
|
"<polygon class=\"l94d20\" id=\"0x3464140\" points=\"23,29.1 13.45,29.1 13.45,21 3.8,21 3.8,25.3 13.45,25.3 13.45,29.1 0,29.1 0,13.55 23,13.55\"/>\n",
|
|
"<polygon class=\"l64d20\" id=\"0x3464270\" points=\"-1.9,13.05 24.9,13.05 24.9,29.1 -1.9,29.1\"/>\n",
|
|
"<polygon class=\"l93d44\" id=\"0x3464340\" points=\"0,-1.9 23,-1.9 23,10.15 0,10.15\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x3464410\" points=\"21.65,24.85 14.95,24.85 14.95,21.9 15.05,21.9 15.05,14.85 21.65,14.85\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x3464500\" points=\"15.05,2.35 21.65,2.35 21.65,8.85 15.05,8.85\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x34645d0\" points=\"1.35,2.35 9.4,2.35 9.4,6.55 1.35,6.55\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x34646a0\" points=\"9.4,2.35 15.1,2.35 15.1,6.55 9.4,6.55\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x3464770\" points=\"10.35,15 15.05,15 15.05,19.2 10.35,19.2\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x3464840\" points=\"1.35,15 10.35,15 10.35,19.2 1.35,19.2\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x3464910\" points=\"3.95,1.05 5.45,1.05 5.45,6.95 3.95,6.95\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34649e0\" points=\"14.45,12.95 11.75,12.95 11.75,8.5 13.25,8.5 13.25,9.65 14.45,9.65\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x3462150\" points=\"19.25,13.25 16.55,13.25 16.55,9.95 17.55,9.95 17.55,9.5 19.05,9.5 19.05,9.95 19.25,9.95\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x3462260\" points=\"5.45,12.55 1.25,12.55 1.25,7.3 3.95,7.3 3.95,6.95 5.45,6.95\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x3462350\" points=\"7.55,1.05 9.05,1.05 9.05,6.75 7.55,6.75\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x3462420\" points=\"3.95,11.85 5.45,11.85 5.45,21.25 3.95,21.25\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34624f0\" points=\"9.65,16.4 8.15,16.4 8.15,14.1 7.55,14.1 7.55,8 9.05,8 9.05,12.85 9.65,12.85\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34640d0\" points=\"8.15,15.25 9.65,15.25 9.65,20.1 8.15,20.1\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34627d0\" points=\"17.55,1.05 19.05,1.05 19.05,9.55 17.55,9.55\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x34628a0\" points=\"17.55,13.05 19.05,13.05 19.05,26.15 17.55,26.15\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x3462970\" points=\"12.8,12.95 14.3,12.95 14.3,20.5 12.8,20.5\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x3462a40\" points=\"7.55,6.75 9.05,6.75 9.05,8.1 7.55,8.1\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x3462b10\" points=\"11.15,1.05 12.65,1.05 12.65,7.7 11.15,7.7\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x3462be0\" points=\"11.15,7.3 13,7.3 13,11.3 11.15,11.3\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x3462cb0\" points=\"8.15,20.1 9.65,20.1 9.65,22.05 8.15,22.05\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x3462d80\" points=\"8.15,21.3 10.85,21.3 10.85,24.6 8.15,24.6\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3462e50\" points=\"1.75,15.6 3.45,15.6 3.45,17.3 1.75,17.3\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3462f20\" points=\"1.75,8.45 3.45,8.45 3.45,10.15 1.75,10.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3462ff0\" points=\"1.75,2.95 3.45,2.95 3.45,4.65 1.75,4.65\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34630c0\" points=\"5.95,17.1 7.65,17.1 7.65,18.8 5.95,18.8\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3463190\" points=\"10.6,16.15 12.3,16.15 12.3,17.85 10.6,17.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3463260\" points=\"12.25,10.45 13.95,10.45 13.95,12.15 12.25,12.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3463330\" points=\"15.35,3.75 17.05,3.75 17.05,5.45 15.35,5.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3463400\" points=\"15.35,22.55 17.05,22.55 17.05,24.25 15.35,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x345cd60\" points=\"17.05,10.75 18.75,10.75 18.75,12.45 17.05,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x345ce30\" points=\"19.55,21.85 21.25,21.85 21.25,23.55 19.55,23.55\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x345cf00\" points=\"19.55,18.45 21.25,18.45 21.25,20.15 19.55,20.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x345cfd0\" points=\"19.55,4.25 21.25,4.25 21.25,5.95 19.55,5.95\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x345d0a0\" points=\"8.65,22.1 10.35,22.1 10.35,23.8 8.65,23.8\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x345d170\" points=\"0.85,6.35 6.35,6.35 6.35,10.2 0.85,10.2\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x345d240\" points=\"8.65,21.25 13.45,21.25 13.45,24.65 8.65,24.65\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x345d310\" points=\"11.45,3.05 11.45,12.15 14.75,12.15 14.75,7.9 13.65,7.9 13.65,3.05\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x345d400\" points=\"18.75,15.9 17.7,15.9 17.7,18.85 10.6,18.85 10.6,15.6 9.4,15.6 9.4,14.95 9.1,14.95 9.1,14.8 8.85,14.8 8.85,14.6 8.65,14.6 8.65,14.35 8.5,14.35 8.5,14.15 8.45,14.15 8.45,13.6 0.85,13.6 0.85,11.9 8.05,11.9 8.05,6.95 9.75,6.95 9.75,13.85 16.45,13.85 16.45,9.9 18.75,9.9\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x345d470\" points=\"22.15,24.65 19.4,24.65 19.4,17.65 20.45,17.65 20.45,7.35 19.55,7.35 19.55,2.55 22.15,2.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x345d580\" points=\"23,28.05 0,28.05 0,26.35 15.15,26.35 15.15,20.9 17.7,20.9 17.7,26.35 23,26.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x345d690\" points=\"23,0.85 17.85,0.85 17.85,6.25 15.35,6.25 15.35,0.85 0,0.85 0,-0.85 23,-0.85\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x345d7a0\" points=\"0.85,19.8 5.15,19.8 5.15,26.35 0.85,26.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x345d870\" points=\"9.75,7 8.05,7 8.05,4.65 0.85,4.65 0.85,2.95 9.75,2.95\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x345d960\" points=\"8.45,19.55 7,19.55 7,20.8 6.9,20.8 6.9,26.35 5.15,26.35 5.15,17.1 8.45,17.1\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x345de80\" points=\"0.85,13.6 3.45,13.6 3.45,18.1 0.85,18.1\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x345df50\" points=\"11,13.85 9.75,13.85 9.75,12.6 9.8,12.6 9.8,12.85 9.9,12.85 9.9,13 9.95,13 9.95,13.15 10.05,13.15 10.05,13.2 10.1,13.2 10.1,13.3 10.15,13.3 10.15,13.4 10.25,13.4 10.25,13.45 10.35,13.45 10.35,13.55 10.45,13.55 10.45,13.65 10.6,13.65 10.6,13.7 10.75,13.7 10.75,13.8 11,13.8\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x345dfc0\" points=\"8.45,14.2 9.5,14.2 9.5,15.1 8.45,15.1\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x345e090\" points=\"7.4,13.5 8.7,13.5 8.7,14.25 7.4,14.25\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3464da0\" points=\"10,15.6 10.6,15.6 10.6,16.35 10,16.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3464e70\" points=\"7.1,13.6 7.4,13.6 7.4,13.9 7.1,13.9\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3464f40\" points=\"7.75,14.25 8.45,14.25 8.45,14.5 7.75,14.5\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3465010\" points=\"8.05,14.5 8.45,14.5 8.45,14.8 8.05,14.8\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34650e0\" points=\"8.45,15.1 9.4,15.1 9.4,15.4 8.45,15.4\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34651b0\" points=\"8.25,14.8 8.45,14.8 8.45,15.1 8.25,15.1\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3465280\" points=\"9.6,15.6 10,15.6 10,15.75 9.6,15.75\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3465350\" points=\"9.8,15.75 10,15.75 10,15.9 9.8,15.9\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3465420\" points=\"9.85,15.9 10,15.9 10,16 9.85,16\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34654f0\" points=\"9.15,15.4 9.4,15.4 9.4,15.5 9.15,15.5\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34655c0\" points=\"10.15,16.35 10.6,16.35 10.6,18.85 10.15,18.85\"/>\n",
|
|
"<polygon class=\"l95d20\" id=\"0x3465690\" points=\"3.8,21 13.45,21 13.45,25.3 3.8,25.3\"/>\n",
|
|
"<polygon class=\"l95d20\" id=\"0x3465760\" points=\"0,9.75 23,9.75 23,13.45 0,13.45\"/>\n",
|
|
"<polygon class=\"l95d20\" id=\"0x3465830\" points=\"0,7.45 4.45,7.45 4.45,10.15 0,10.15\"/>\n",
|
|
"<polygon class=\"l95d20\" id=\"0x3465900\" points=\"11.25,9.45 14.95,9.45 14.95,10.45 11.25,10.45\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x34659d0\" points=\"19.85,4.25 21.55,4.25 21.55,5.95 19.85,5.95\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3465aa0\" points=\"19.85,21.25 21.55,21.25 21.55,22.95 19.85,22.95\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3465b70\" points=\"11.75,7.65 13.45,7.65 13.45,9.35 11.75,9.35\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3465c40\" points=\"10.65,21.25 12.35,21.25 12.35,22.95 10.65,22.95\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3465d10\" points=\"1.45,7.65 3.15,7.65 3.15,9.35 1.45,9.35\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,29.6 0,24.8 23,24.8 23,29.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,2.4 0,-2.4 23,-2.4 23,2.4\"/>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x3465de0\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VGND</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x3465e70\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPWR</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3466160\" text-anchor=\"middle\" transform=\"translate(20.7 22.1) scale(0.1) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3466480\" text-anchor=\"middle\" transform=\"translate(20.7 5.1) scale(0.1) scale(1 -1)\">X</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3466510\" text-anchor=\"middle\" transform=\"translate(11.5 22.1) scale(0.2) scale(1 -1)\">B</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x34665a0\" text-anchor=\"middle\" transform=\"translate(2.3 8.5) scale(0.2) scale(1 -1)\">A</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3466630\" text-anchor=\"middle\" transform=\"translate(12.6 8.5) scale(0.2) scale(1 -1)\">C</text>\n",
|
|
"<text class=\"l64t5\" dominant-baseline=\"central\" id=\"0x34666c0\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPB</text>\n",
|
|
"<text class=\"l64t59\" dominant-baseline=\"central\" id=\"0x3466750\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VNB</text>\n",
|
|
"<text class=\"l83t44\" dominant-baseline=\"text-before-edge\" id=\"0x3466870\" text-anchor=\"start\" transform=\"translate(0 0) rotate(90) scale(0.1) scale(1 -1)\">and3_1</text>\n",
|
|
"</g>\n",
|
|
"<g id=\"sky130_fd_sc_hd__nand2_1\">\n",
|
|
"<polygon class=\"l236d0\" id=\"0x342f630\" points=\"0,0 13.8,0 13.8,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l64d16\" id=\"0x308ec30\" points=\"1.4,26.35 3.1,26.35 3.1,28.05 1.4,28.05\"/>\n",
|
|
"<polygon class=\"l122d16\" id=\"0x308ed00\" points=\"1.4,-0.85 3.1,-0.85 3.1,0.85 1.4,0.85\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x343f5e0\" points=\"1.4,-0.85 3.1,-0.85 3.1,0.85 1.4,0.85\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x343f6b0\" points=\"1.4,26.35 3.1,26.35 3.1,28.05 1.4,28.05\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3440190\" points=\"13.8,0.85 3.95,0.85 3.95,8.85 0.85,8.85 0.85,0.85 0,0.85 0,-0.85 13.8,-0.85\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3440200\" points=\"13.8,28.05 0,28.05 0,26.35 0.85,26.35 0.85,14.95 3.65,14.95 3.65,26.35 10.35,26.35 10.35,14.95 12.95,14.95 12.95,26.35 13.8,26.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3440270\" points=\"0.95,10.55 4.3,10.55 4.3,13.25 0.95,13.25\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3440a40\" points=\"9.4,10.75 12.75,10.75 12.75,13.25 9.4,13.25\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x305f000\" points=\"12.95,8.85 7.7,8.85 7.7,14.85 8.65,14.85 8.65,24.65 5.35,24.65 5.35,14.85 6,14.85 6,2.55 12.95,2.55\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x317e0b0\" points=\"10.35,2.95 12.05,2.95 12.05,4.65 10.35,4.65\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x317e180\" points=\"10.35,6.35 12.05,6.35 12.05,8.05 10.35,8.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3199320\" points=\"1.95,22.55 3.65,22.55 3.65,24.25 1.95,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x31993f0\" points=\"1.95,19.15 3.65,19.15 3.65,20.85 1.95,20.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x32e0480\" points=\"1.95,15.75 3.65,15.75 3.65,17.45 1.95,17.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x32e0550\" points=\"1.95,6.35 3.65,6.35 3.65,8.05 1.95,8.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3232090\" points=\"1.95,2.95 3.65,2.95 3.65,4.65 1.95,4.65\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x336dd70\" points=\"6.15,22.55 7.85,22.55 7.85,24.25 6.15,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3232160\" points=\"6.15,19.15 7.85,19.15 7.85,20.85 6.15,20.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34121d0\" points=\"6.15,15.75 7.85,15.75 7.85,17.45 6.15,17.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34122a0\" points=\"10.2,10.75 11.9,10.75 11.9,12.45 10.2,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3401660\" points=\"10.35,22.55 12.05,22.55 12.05,24.25 10.35,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3401730\" points=\"1.8,10.75 3.5,10.75 3.5,12.45 1.8,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x31ff770\" points=\"10.35,19.15 12.05,19.15 12.05,20.85 10.35,20.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x31ff840\" points=\"10.35,15.75 12.05,15.75 12.05,17.45 10.35,17.45\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x30b4f90\" points=\"12.75,13.25 9.85,13.25 9.85,26.15 8.35,26.15 8.35,1.05 9.85,1.05 9.85,9.95 12.75,9.95\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x30b5000\" points=\"5.65,26.15 4.15,26.15 4.15,13.25 1.05,13.25 1.05,9.95 4.15,9.95 4.15,1.05 5.65,1.05\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x30b5070\" points=\"10.6,11.05 12.3,11.05 12.3,12.75 10.6,12.75\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x30df570\" points=\"6,4.25 7.7,4.25 7.7,5.95 6,5.95\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x30df640\" points=\"6,7.65 7.7,7.65 7.7,9.35 6,9.35\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x3101620\" points=\"6,11.05 7.7,11.05 7.7,12.75 6,12.75\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x31016f0\" points=\"1.4,11.05 3.1,11.05 3.1,12.75 1.4,12.75\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x3194560\" points=\"1.55,14.85 12.45,14.85 12.45,24.85 1.55,24.85\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x3194630\" points=\"1.55,2.35 12.45,2.35 12.45,8.85 1.55,8.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x336dcc0\" points=\"10.65,26.35 12.35,26.35 12.35,28.05 10.65,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x323d340\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x323d410\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x33a2ff0\" points=\"6.05,26.35 7.75,26.35 7.75,28.05 6.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x33a30c0\" points=\"6.05,-0.85 7.75,-0.85 7.75,0.85 6.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x30fe6e0\" points=\"10.65,-0.85 12.35,-0.85 12.35,0.85 10.65,0.85\"/>\n",
|
|
"<polygon class=\"l81d4\" id=\"0x30fe7b0\" points=\"0,0 13.8,0 13.8,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l94d20\" id=\"0x3128930\" points=\"0,13.55 13.8,13.55 13.8,29.1 0,29.1\"/>\n",
|
|
"<polygon class=\"l64d20\" id=\"0x3128a00\" points=\"-1.9,13.05 15.7,13.05 15.7,29.1 -1.9,29.1\"/>\n",
|
|
"<polygon class=\"l93d44\" id=\"0x315c8a0\" points=\"0,-1.9 13.8,-1.9 13.8,10.15 0,10.15\"/>\n",
|
|
"<polygon class=\"l78d44\" id=\"0x315c970\" points=\"0,12.5 13.8,12.5 13.8,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l95d20\" id=\"0x317f2e0\" points=\"0,9.75 13.8,9.75 13.8,13.45 0,13.45\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,29.6 0,24.8 13.8,24.8 13.8,29.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,2.4 0,-2.4 13.8,-2.4 13.8,2.4\"/>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x305f130\" text-anchor=\"middle\" transform=\"translate(6.85 5.1) scale(0.125) scale(1 -1)\">Y</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3061370\" text-anchor=\"middle\" transform=\"translate(6.85 8.5) scale(0.125) scale(1 -1)\">Y</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3061400\" text-anchor=\"middle\" transform=\"translate(6.85 11.9) scale(0.125) scale(1 -1)\">Y</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3061490\" text-anchor=\"middle\" transform=\"translate(2.25 11.9) scale(0.125) scale(1 -1)\">B</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3159b20\" text-anchor=\"middle\" transform=\"translate(11.45 11.9) scale(0.125) scale(1 -1)\">A</text>\n",
|
|
"<text class=\"l64t5\" dominant-baseline=\"central\" id=\"0x3159bb0\" text-anchor=\"middle\" transform=\"translate(2.25 27.2) scale(0.1) scale(1 -1)\">VPB</text>\n",
|
|
"<text class=\"l64t59\" dominant-baseline=\"central\" id=\"0x3159c40\" text-anchor=\"middle\" transform=\"translate(2.25 0) scale(0.1) scale(1 -1)\">VNB</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x315d160\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VGND</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x315d1f0\" text-anchor=\"middle\" transform=\"translate(2.25 27.2) scale(0.1) scale(1 -1)\">VPWR</text>\n",
|
|
"<text class=\"l83t44\" dominant-baseline=\"text-before-edge\" id=\"0x317f3b0\" text-anchor=\"start\" transform=\"translate(0 0) rotate(90) scale(0.1) scale(1 -1)\">nand2_1</text>\n",
|
|
"</g>\n",
|
|
"<g id=\"sky130_fd_sc_hd__dfrtp_1\">\n",
|
|
"<polygon class=\"l236d0\" id=\"0x34873a0\" points=\"0,0 92,0 92,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l95d20\" id=\"0x3487470\" points=\"92,13.45 78.4,13.45 78.4,18.45 74.7,18.45 74.7,14.2 64.5,14.2 64.5,19.25 60.8,19.25 60.8,14.2 28.8,14.2 28.8,19.25 25.1,19.25 25.1,16.5 16,16.5 16,14.2 5.65,14.2 5.65,13.45 0,13.45 0,9.75 14.25,9.75 14.25,7.45 29.1,7.45 29.1,7.15 32.8,7.15 32.8,10.5 41.85,10.5 41.85,7.45 45.55,7.45 45.55,9.85 50.55,9.85 50.55,10.5 54.05,10.5 54.05,6.85 57.75,6.85 57.75,9.25 66.05,9.25 66.05,7.95 69.75,7.95 69.75,9.35 74.55,9.35 74.55,9.75 92,9.75\"/>\n",
|
|
"<polygon class=\"l95d20\" id=\"0x34874e0\" points=\"37.55,13.65 41.95,13.65 41.95,17.95 37.55,17.95\"/>\n",
|
|
"<polygon class=\"l94d20\" id=\"0x34875b0\" points=\"92,29.1 0,29.1 0,16.85 13.5,16.85 13.5,19.35 48.85,19.35 48.85,15.15 58.25,15.15 58.25,19.35 81.55,19.35 81.55,13.55 92,13.55\"/>\n",
|
|
"<polygon class=\"l93d44\" id=\"0x3487700\" points=\"0,-1.9 92,-1.9 92,10.15 0,10.15\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x34877d0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x34878a0\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l64d16\" id=\"0x3487970\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l122d16\" id=\"0x3487a40\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34882b0\" points=\"9.55,17.55 12.45,17.55 12.45,19.85 9.55,19.85\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x3488380\" points=\"59.65,17.55 62.55,17.55 62.55,19.85 59.65,19.85\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x3488450\" points=\"59.65,10.75 62.55,10.75 62.55,13.05 59.65,13.05\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x3488520\" points=\"76.35,9.65 73.35,9.65 73.35,9.2 43.95,9.2 43.95,9.65 37.45,9.65 37.45,7.35 43.95,7.35 43.95,7.8 73.45,7.8 73.45,7.35 76.35,7.35\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x348c280\" points=\"5.5,10.75 8.4,10.75 8.4,13.05 5.5,13.05\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x348c350\" points=\"60.75,19.4 31.35,19.4 31.35,19.85 28.45,19.85 28.45,19.4 11.85,19.4 11.85,18 28.45,18 28.45,17.55 31.35,17.55 31.35,18 60.75,18\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x348c4a0\" points=\"60.45,12.6 26.75,12.6 26.75,13.05 23.85,13.05 23.85,12.6 8.2,12.6 8.2,11.2 23.85,11.2 23.85,10.75 26.75,10.75 26.75,11.2 60.45,11.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x348c5f0\" points=\"70.45,9.1 73.35,9.1 73.35,12.8 70.45,12.8\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x348ca10\" points=\"77.9,18.25 77.3,18.25 77.3,26.15 75.8,26.15 75.8,18.25 75.2,18.25 75.2,14.95 76.15,14.95 76.15,1.05 77.65,1.05 77.65,14.95 77.9,14.95\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x348cb60\" points=\"5.45,8.8 3.8,8.8 3.8,15.9 5.45,15.9 5.45,25.85 3.95,25.85 3.95,17.4 2.3,17.4 2.3,13.25 1.1,13.25 1.1,9.95 2.3,9.95 2.3,7.3 3.95,7.3 3.95,1.05 5.45,1.05\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x348ccf0\" points=\"9.65,25.85 8.15,25.85 8.15,13.7 5.9,13.7 5.9,11 8.15,11 8.15,1.05 9.65,1.05\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x348ce00\" points=\"23,26.15 21.5,26.15 21.5,16.3 16.55,16.3 16.55,13 20.9,13 20.9,1.05 22.4,1.05 22.4,14.8 23,14.8\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x348cf30\" points=\"32.55,26.15 31.05,26.15 31.05,13.95 25.65,13.95 25.65,10.95 24.5,10.95 24.5,7.65 25.65,7.65 25.65,1.05 27.15,1.05 27.15,7.65 27.2,7.65 27.2,12.45 32.55,12.45\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x348cfa0\" points=\"28.6,18.75 27.6,18.75 27.6,26.15 26.1,26.15 26.1,18.75 25.3,18.75 25.3,16.05 28.6,16.05\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x348d0b0\" points=\"32.6,10.35 29.3,10.35 29.3,7.65 30.45,7.65 30.45,1.05 31.95,1.05 31.95,7.65 32.6,7.65\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x348d1c0\" points=\"38.05,14.45 40.8,14.45 40.8,17.75 38.05,17.75\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x348d290\" points=\"45.05,10.95 42.35,10.95 42.35,1.05 43.85,1.05 43.85,7.65 45.05,7.65\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x348d380\" points=\"54.25,26.15 52.75,26.15 52.75,15.7 48.95,15.7 48.95,13.35 47.15,13.35 47.15,10.05 48.95,10.05 48.95,1.05 50.45,1.05 50.45,14.2 54.25,14.2\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x348d4d0\" points=\"57.25,10.35 53.9,10.35 53.9,1.05 55.4,1.05 55.4,7.05 57.25,7.05\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x348d5c0\" points=\"62.05,12.75 60.85,12.75 60.85,13.35 60.2,13.35 60.2,13.95 59.2,13.95 59.2,26.15 57.7,26.15 57.7,12.45 58.75,12.45 58.75,11.85 59.35,11.85 59.35,1.05 60.85,1.05 60.85,9.45 62.05,9.45\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x348d750\" points=\"64,19.05 63.5,19.05 63.5,26.15 62,26.15 62,19.05 61.3,19.05 61.3,15.75 64,15.75\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x348d860\" points=\"69.25,11.45 67.7,11.45 67.7,26.15 66.2,26.15 66.2,9.65 64.15,9.65 64.15,1.05 65.65,1.05 65.65,8.15 69.25,8.15\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x348d990\" points=\"74.05,12.85 73.1,12.85 73.1,26.15 71.6,26.15 71.6,12.85 71.35,12.85 71.35,9.55 71.6,9.55 71.6,1.05 73.1,1.05 73.1,9.55 74.05,9.55\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x348de80\" points=\"88.05,26.15 86.55,26.15 86.55,13.25 85.1,13.25 85.1,9.95 86.55,9.95 86.55,1.05 88.05,1.05\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x348c700\" points=\"38.75,1.05 40.25,1.05 40.25,15.15 38.75,15.15\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x348e100\" points=\"38.05,17.6 39.55,17.6 39.55,26.15 38.05,26.15\"/>\n",
|
|
"<polygon class=\"l66d20\" id=\"0x348e1d0\" points=\"42.9,10.55 44.4,10.55 44.4,26.15 42.9,26.15\"/>\n",
|
|
"<polygon class=\"l64d20\" id=\"0x348e2a0\" points=\"-1.9,13.05 93.9,13.05 93.9,29.1 -1.9,29.1\"/>\n",
|
|
"<polygon class=\"l81d4\" id=\"0x348e370\" points=\"0,0 92,0 92,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x348e440\" points=\"1.5,14.45 3.2,14.45 3.2,16.15 1.5,16.15\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x348e510\" points=\"1.5,11.05 3.2,11.05 3.2,12.75 1.5,12.75\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x348e5e0\" points=\"15.25,14.45 16.95,14.45 16.95,16.15 15.25,16.15\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x348e6b0\" points=\"74.05,11.05 75.75,11.05 75.75,12.75 74.05,12.75\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x348e780\" points=\"74.05,7.65 75.75,7.65 75.75,9.35 74.05,9.35\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x348e850\" points=\"88.8,21.25 90.5,21.25 90.5,22.95 88.8,22.95\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x348e920\" points=\"88.8,17.85 90.5,17.85 90.5,19.55 88.8,19.55\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x348e9f0\" points=\"88.8,14.45 90.5,14.45 90.5,16.15 88.8,16.15\"/>\n",
|
|
"<polygon class=\"l67d16\" id=\"0x348eac0\" points=\"88.8,4.25 90.5,4.25 90.5,5.95 88.8,5.95\"/>\n",
|
|
"<polygon class=\"l78d44\" id=\"0x348eb90\" points=\"0,12.5 92,12.5 92,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x348ec60\" points=\"6.05,26.35 7.75,26.35 7.75,28.05 6.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x348ed30\" points=\"79.65,26.35 81.35,26.35 81.35,28.05 79.65,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x348ee00\" points=\"79.65,-0.85 81.35,-0.85 81.35,0.85 79.65,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x348eed0\" points=\"84.25,26.35 85.95,26.35 85.95,28.05 84.25,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x348efa0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x348f070\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x348f140\" points=\"88.85,26.35 90.55,26.35 90.55,28.05 88.85,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x348f210\" points=\"88.85,-0.85 90.55,-0.85 90.55,0.85 88.85,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x348f2e0\" points=\"6.1,11.05 7.8,11.05 7.8,12.75 6.1,12.75\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x348f3b0\" points=\"6.05,-0.85 7.75,-0.85 7.75,0.85 6.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x348f480\" points=\"10.65,26.35 12.35,26.35 12.35,28.05 10.65,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x348f550\" points=\"10.15,17.85 11.85,17.85 11.85,19.55 10.15,19.55\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x348f620\" points=\"10.65,-0.85 12.35,-0.85 12.35,0.85 10.65,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x348f6f0\" points=\"15.25,26.35 16.95,26.35 16.95,28.05 15.25,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x348f7c0\" points=\"15.25,-0.85 16.95,-0.85 16.95,0.85 15.25,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x348f890\" points=\"19.85,26.35 21.55,26.35 21.55,28.05 19.85,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x348fcc0\" points=\"19.85,-0.85 21.55,-0.85 21.55,0.85 19.85,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x348df50\" points=\"24.45,26.35 26.15,26.35 26.15,28.05 24.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x348e020\" points=\"24.45,11.05 26.15,11.05 26.15,12.75 24.45,12.75\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3490140\" points=\"24.45,-0.85 26.15,-0.85 26.15,0.85 24.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3490210\" points=\"29.05,26.35 30.75,26.35 30.75,28.05 29.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34902e0\" points=\"29.05,17.85 30.75,17.85 30.75,19.55 29.05,19.55\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34903b0\" points=\"29.05,-0.85 30.75,-0.85 30.75,0.85 29.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3490480\" points=\"33.65,26.35 35.35,26.35 35.35,28.05 33.65,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3490550\" points=\"33.65,-0.85 35.35,-0.85 35.35,0.85 33.65,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3490620\" points=\"38.25,26.35 39.95,26.35 39.95,28.05 38.25,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34906f0\" points=\"41.65,7.65 43.35,7.65 43.35,9.35 41.65,9.35\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34907c0\" points=\"38.25,-0.85 39.95,-0.85 39.95,0.85 38.25,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3490890\" points=\"42.85,26.35 44.55,26.35 44.55,28.05 42.85,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3490960\" points=\"42.85,-0.85 44.55,-0.85 44.55,0.85 42.85,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3490a30\" points=\"47.45,26.35 49.15,26.35 49.15,28.05 47.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3490b00\" points=\"47.45,-0.85 49.15,-0.85 49.15,0.85 47.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3490bd0\" points=\"52.05,26.35 53.75,26.35 53.75,28.05 52.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3490ca0\" points=\"52.05,-0.85 53.75,-0.85 53.75,0.85 52.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3490d70\" points=\"56.65,26.35 58.35,26.35 58.35,28.05 56.65,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3490e40\" points=\"60.25,17.85 61.95,17.85 61.95,19.55 60.25,19.55\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3490f10\" points=\"60.25,11.05 61.95,11.05 61.95,12.75 60.25,12.75\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3490fe0\" points=\"61.25,-0.85 62.95,-0.85 62.95,0.85 61.25,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34910b0\" points=\"65.85,26.35 67.55,26.35 67.55,28.05 65.85,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3491180\" points=\"65.85,-0.85 67.55,-0.85 67.55,0.85 65.85,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3491250\" points=\"70.45,26.35 72.15,26.35 72.15,28.05 70.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3491320\" points=\"74.05,7.65 75.75,7.65 75.75,9.35 74.05,9.35\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34913f0\" points=\"70.45,-0.85 72.15,-0.85 72.15,0.85 70.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34914c0\" points=\"75.05,26.35 76.75,26.35 76.75,28.05 75.05,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3491590\" points=\"75.05,-0.85 76.75,-0.85 76.75,0.85 75.05,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3491660\" points=\"84.25,-0.85 85.95,-0.85 85.95,0.85 84.25,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3491730\" points=\"56.65,-0.85 58.35,-0.85 58.35,0.85 56.65,0.85\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34992f0\" points=\"61.25,26.35 62.95,26.35 62.95,28.05 61.25,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34993c0\" points=\"38.05,7.65 39.75,7.65 39.75,9.35 38.05,9.35\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3499490\" points=\"71.05,10.8 72.75,10.8 72.75,12.5 71.05,12.5\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3499560\" points=\"6.7,11.5 8.4,11.5 8.4,13.2 6.7,13.2\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3499630\" points=\"5.95,2.95 7.65,2.95 7.65,4.65 5.95,4.65\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3499700\" points=\"5.95,21.35 7.65,21.35 7.65,23.05 5.95,23.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34997d0\" points=\"1.75,4.25 3.45,4.25 3.45,5.95 1.75,5.95\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34998a0\" points=\"10.15,18.75 11.85,18.75 11.85,20.45 10.15,20.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3499970\" points=\"10.15,22.15 11.85,22.15 11.85,23.85 10.15,23.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3499a40\" points=\"1.6,10.75 3.3,10.75 3.3,12.45 1.6,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3499b10\" points=\"25,8.45 26.7,8.45 26.7,10.15 25,10.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3499be0\" points=\"26.1,16.55 27.8,16.55 27.8,18.25 26.1,18.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3499cb0\" points=\"28.15,22.45 29.85,22.45 29.85,24.15 28.15,24.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3499d80\" points=\"1.75,18.75 3.45,18.75 3.45,20.45 1.75,20.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3499e50\" points=\"1.75,22.15 3.45,22.15 3.45,23.85 1.75,23.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3499f20\" points=\"19.3,22.55 21,22.55 21,24.25 19.3,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3499ff0\" points=\"22.9,3.05 24.6,3.05 24.6,4.75 22.9,4.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x349a0c0\" points=\"23.5,22.15 25.2,22.15 25.2,23.85 23.5,23.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x349a190\" points=\"17.05,13.8 18.75,13.8 18.75,15.5 17.05,15.5\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x349a260\" points=\"16.25,2.75 17.95,2.75 17.95,4.45 16.25,4.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x349a330\" points=\"10.15,4.25 11.85,4.25 11.85,5.95 10.15,5.95\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x349a400\" points=\"28.25,3.05 29.95,3.05 29.95,4.75 28.25,4.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x349a4d0\" points=\"30.1,8.15 31.8,8.15 31.8,9.85 30.1,9.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x349a5a0\" points=\"38.55,15.25 40.25,15.25 40.25,16.95 38.55,16.95\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x349a670\" points=\"40.7,22.05 42.4,22.05 42.4,23.75 40.7,23.75\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x349a740\" points=\"42.85,8.45 44.55,8.45 44.55,10.15 42.85,10.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x349a810\" points=\"44.9,21.25 46.6,21.25 46.6,22.95 44.9,22.95\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x349a8e0\" points=\"45.55,2.95 47.25,2.95 47.25,4.65 45.55,4.65\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x349a9b0\" points=\"47.65,10.85 49.35,10.85 49.35,12.55 47.65,12.55\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x349aa80\" points=\"50.55,22.55 52.25,22.55 52.25,24.25 50.55,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x349ab50\" points=\"51.15,2.75 52.85,2.75 52.85,4.45 51.15,4.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x349ac20\" points=\"54.95,22.15 56.65,22.15 56.65,23.85 54.95,23.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x349acf0\" points=\"55.05,7.85 56.75,7.85 56.75,9.55 55.05,9.55\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x349adc0\" points=\"56.65,2.95 58.35,2.95 58.35,4.65 56.65,4.65\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x348fd90\" points=\"59.8,22.5 61.5,22.5 61.5,24.2 59.8,24.2\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x348fe60\" points=\"59.85,10.25 61.55,10.25 61.55,11.95 59.85,11.95\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x348ff30\" points=\"61.8,16.55 63.5,16.55 63.5,18.25 61.8,18.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3490000\" points=\"67.05,8.95 68.75,8.95 68.75,10.65 67.05,10.65\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34900d0\" points=\"67.25,2.75 68.95,2.75 68.95,4.45 67.25,4.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3497bd0\" points=\"69.4,22.55 71.1,22.55 71.1,24.25 69.4,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3497ca0\" points=\"71.85,10.35 73.55,10.35 73.55,12.05 71.85,12.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3497d70\" points=\"73.6,21.25 75.3,21.25 75.3,22.95 73.6,22.95\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3497e40\" points=\"75.7,15.75 77.4,15.75 77.4,17.45 75.7,17.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3497f10\" points=\"77.9,22.55 79.6,22.55 79.6,24.25 77.9,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3497fe0\" points=\"78.15,3.75 79.85,3.75 79.85,5.45 78.15,5.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34980b0\" points=\"84.35,22.55 86.05,22.55 86.05,24.25 84.35,24.25\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3498180\" points=\"84.35,19.15 86.05,19.15 86.05,20.85 84.35,20.85\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3498250\" points=\"84.35,2.95 86.05,2.95 86.05,4.65 84.35,4.65\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3498320\" points=\"85.6,10.75 87.3,10.75 87.3,12.45 85.6,12.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34983f0\" points=\"88.55,20.75 90.25,20.75 90.25,22.45 88.55,22.45\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x34984c0\" points=\"88.55,17.35 90.25,17.35 90.25,19.05 88.55,19.05\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3498590\" points=\"88.55,5.45 90.25,5.45 90.25,7.15 88.55,7.15\"/>\n",
|
|
"<polygon class=\"l66d44\" id=\"0x3498660\" points=\"35.85,21.25 37.55,21.25 37.55,22.95 35.85,22.95\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x3498730\" points=\"1.35,18.15 12.25,18.15 12.25,24.55 1.35,24.55\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x3498800\" points=\"1.35,2.35 12.25,2.35 12.25,6.55 1.35,6.55\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x34988d0\" points=\"33.05,20.65 47,20.65 47,24.85 33.05,24.85\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x34989a0\" points=\"80,24.85 50.15,24.85 50.15,16.45 56.95,16.45 56.95,20.65 80,20.65\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x3498a90\" points=\"90.65,24.85 83.25,24.85 83.25,18.45 84.05,18.45 84.05,14.85 90.65,14.85\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x3498b80\" points=\"90.65,8.85 84.05,8.85 84.05,6.55 82.95,6.55 82.95,2.35 90.65,2.35\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x3498c70\" points=\"80.25,6.55 61.65,6.55 61.65,5.95 53.15,5.95 53.15,8.75 46.45,8.75 46.45,6.55 32.85,6.55 32.85,5.95 24.9,5.95 24.9,6.55 15.65,6.55 15.65,2.35 80.25,2.35\"/>\n",
|
|
"<polygon class=\"l65d20\" id=\"0x3498de0\" points=\"33.35,24.85 18.9,24.85 18.9,20.65 33.3,20.65 33.3,24.8 33.35,24.8\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3491b00\" points=\"0.9,9.75 4.4,9.75 4.4,16.25 0.9,16.25\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3491bd0\" points=\"8.4,19.65 3.45,19.65 3.45,24.65 0.9,24.65 0.9,17.95 6.1,17.95 6.1,8.05 0.9,8.05 0.9,3.45 3.45,3.45 3.45,6.35 8.4,6.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3491d20\" points=\"10.15,3.45 11.85,3.45 11.85,24.65 10.15,24.65\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3491df0\" points=\"14.15,6.15 18.75,6.15 18.75,16.65 14.15,16.65\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3491ec0\" points=\"77.1,22.55 80.4,22.55 80.4,27 77.1,27\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3491f90\" points=\"25.4,4.75 22.15,4.75 22.15,18.35 24.4,18.35 24.4,21.35 25.2,21.35 25.2,24.65 22.7,24.65 22.7,20.05 20.45,20.05 20.45,3.05 25.4,3.05\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34920e0\" points=\"23.85,7.65 27.35,7.65 27.35,13.85 23.85,13.85\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34921b0\" points=\"32.6,9.85 30.75,9.85 30.75,19.65 26.1,19.65 26.1,15.75 29.05,15.75 29.05,7.65 32.6,7.65\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34922c0\" points=\"38.05,7.65 45.95,7.65 45.95,10.15 38.05,10.15\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3492390\" points=\"49.35,13.55 34.15,13.55 34.15,24.65 27.35,24.65 27.35,21.35 32.45,21.35 32.45,11.85 34.3,11.85 34.3,4.75 27.45,4.75 27.45,3.05 36,3.05 36,11.85 47.65,11.85 47.65,10.05 49.35,10.05\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3492520\" points=\"91.1,23.25 88.55,23.25 88.55,14.45 89,14.45 89,7.95 88.55,7.95 88.55,2.65 91.1,2.65\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3492630\" points=\"56.65,24.65 54.95,24.65 54.95,20.05 51.05,20.05 51.05,8.35 50.15,8.35 50.15,2.75 53.65,2.75 53.65,4.45 52.75,4.45 52.75,18.35 56.65,18.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3492780\" points=\"58.45,7.05 61.95,7.05 61.95,13.25 58.45,13.25\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3492850\" points=\"64.3,19.55 59.7,19.55 59.7,16.65 54.65,16.65 54.65,7.05 56.75,7.05 56.75,14.95 61.4,14.95 61.4,16.55 64.3,16.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3492980\" points=\"76.45,14.05 71.05,14.05 71.05,10.35 74.05,10.35 74.05,6.35 76.45,6.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3492a70\" points=\"78.2,17.45 67.7,17.45 67.7,24.65 59,24.65 59,21.25 66,21.25 66,14.85 63.65,14.85 63.65,5.35 55.85,5.35 55.85,2.55 65.35,2.55 65.35,13.15 67.7,13.15 67.7,15.75 78.2,15.75\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3492c00\" points=\"49.55,21.75 53.25,21.75 53.25,26.75 49.55,26.75\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3492cd0\" points=\"92,28.05 0,28.05 0,26.35 18.5,26.35 18.5,21.75 21,21.75 21,26.35 92,26.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3492de0\" points=\"0,-0.85 92,-0.85 92,0.85 0,0.85\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3492eb0\" points=\"15.45,-0.3 18.75,-0.3 18.75,4.45 15.45,4.45\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3492f80\" points=\"87.3,13.25 81.6,13.25 81.6,20.85 75.3,20.85 75.3,23.75 73.6,23.75 73.6,19.15 79.9,19.15 79.9,12.95 78.15,12.95 78.15,4.65 72.35,4.65 72.35,8.65 69.25,8.65 69.25,11.45 67.05,11.45 67.05,6.95 70.65,6.95 70.65,2.95 81.35,2.95 81.35,8.2 81.4,8.2 81.4,9.95 87.3,9.95\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3492ff0\" points=\"37.75,15.25 51.3,15.25 51.3,16.95 37.75,16.95\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34930c0\" points=\"39.9,22.05 43.2,22.05 43.2,26.9 39.9,26.9\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3493190\" points=\"83.8,0.5 86.85,0.5 86.85,5.45 83.8,5.45\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3493260\" points=\"69.4,21.75 71.9,21.75 71.9,26.9 69.4,26.9\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3493330\" points=\"83.8,14.95 86.85,14.95 86.85,27.1 83.8,27.1\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3493400\" points=\"67.05,0.65 68.95,0.65 68.95,5.25 67.05,5.25\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34934d0\" points=\"44.75,0.55 48.05,0.55 48.05,5.45 44.75,5.45\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34935a0\" points=\"46.6,23.75 44.9,23.75 44.9,20.35 37.55,20.35 37.55,23.75 35.85,23.75 35.85,18.65 46.6,18.65\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34936b0\" points=\"5.15,0.6 8.45,0.6 8.45,4.65 5.15,4.65\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x349b1d0\" points=\"5.15,21.35 8.45,21.35 8.45,26.65 5.15,26.65\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x349b2a0\" points=\"13.55,16.65 16.8,16.65 16.8,24.5 13.55,24.5\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,2.4 0,-2.4 92,-2.4 92,2.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,29.6 0,24.8 92,24.8 92,29.6\"/>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3487ba0\" text-anchor=\"middle\" transform=\"translate(89.65 22.1) scale(0.2) scale(1 -1)\">Q</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3487c50\" text-anchor=\"middle\" transform=\"translate(89.65 18.7) scale(0.2) scale(1 -1)\">Q</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3487d00\" text-anchor=\"middle\" transform=\"translate(89.65 15.3) scale(0.2) scale(1 -1)\">Q</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3487db0\" text-anchor=\"middle\" transform=\"translate(89.65 5.1) scale(0.2) scale(1 -1)\">Q</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3487e60\" text-anchor=\"middle\" transform=\"translate(74.9 8.5) scale(0.2) scale(1 -1)\">RESET_B</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3487f60\" text-anchor=\"middle\" transform=\"translate(16.1 15.3) scale(0.2) scale(1 -1)\">D</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3488010\" text-anchor=\"middle\" transform=\"translate(2.35 15.3) scale(0.2) scale(1 -1)\">CLK</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x34880c0\" text-anchor=\"middle\" transform=\"translate(2.35 11.9) scale(0.2) scale(1 -1)\">CLK</text>\n",
|
|
"<text class=\"l67t5\" dominant-baseline=\"central\" id=\"0x3488170\" text-anchor=\"middle\" transform=\"translate(74.9 11.9) scale(0.2) scale(1 -1)\">RESET_B</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x348c770\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VGND</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x348c800\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPWR</text>\n",
|
|
"<text class=\"l64t5\" dominant-baseline=\"central\" id=\"0x348c8b0\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPB</text>\n",
|
|
"<text class=\"l64t59\" dominant-baseline=\"central\" id=\"0x348c960\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VNB</text>\n",
|
|
"<text class=\"l83t44\" dominant-baseline=\"text-before-edge\" id=\"0x349b370\" text-anchor=\"start\" transform=\"translate(0 0) rotate(90) scale(0.1) scale(1 -1)\">dfrtp_1</text>\n",
|
|
"</g>\n",
|
|
"<g id=\"sky130_fd_sc_hd__fill_1\">\n",
|
|
"<polygon class=\"l64d16\" id=\"0x30fddc0\" points=\"1.55,26.7 2.55,26.7 2.55,27.55 1.55,27.55\"/>\n",
|
|
"<polygon class=\"l122d16\" id=\"0x31d9a30\" points=\"1.4,-0.55 2.6,-0.55 2.6,0.55 1.4,0.55\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x31d5ae0\" points=\"1.1,26.35 2.9,26.35 2.9,27.85 1.1,27.85\"/>\n",
|
|
"<polygon class=\"l68d16\" id=\"0x3279c40\" points=\"1.1,-0.65 2.9,-0.65 2.9,0.8 1.1,0.8\"/>\n",
|
|
"<polygon class=\"l81d4\" id=\"0x3318670\" points=\"0,0 4.6,0 4.6,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l78d44\" id=\"0x32273a0\" points=\"0,12.5 4.6,12.5 4.6,27.2 0,27.2\"/>\n",
|
|
"<polygon class=\"l93d44\" id=\"0x3360590\" points=\"0,-1.9 4.6,-1.9 4.6,10.15 0,10.15\"/>\n",
|
|
"<polygon class=\"l64d20\" id=\"0x33715c0\" points=\"-1.9,13.05 6.5,13.05 6.5,29.1 -1.9,29.1\"/>\n",
|
|
"<polygon class=\"l94d20\" id=\"0x340fce0\" points=\"0,13.55 4.6,13.55 4.6,29.1 0,29.1\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3153f70\" points=\"1.45,26.35 3.15,26.35 3.15,28.05 1.45,28.05\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x33204a0\" points=\"1.45,-0.85 3.15,-0.85 3.15,0.85 1.45,0.85\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x2c416d0\" points=\"0,0.85 0,-0.85 4.6,-0.85 4.6,0.85\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x2c416d0\" points=\"0,28.05 0,26.35 4.6,26.35 4.6,28.05\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,29.6 0,24.8 4.6,24.8 4.6,29.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x2c416d0\" points=\"0,2.4 0,-2.4 4.6,-2.4 4.6,2.4\"/>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x249f040\" text-anchor=\"middle\" transform=\"translate(2.05 27.2) scale(0.125) scale(1 -1)\">VPWR</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"central\" id=\"0x3176080\" text-anchor=\"middle\" transform=\"translate(2.05 0) scale(0.125) scale(1 -1)\">VGND</text>\n",
|
|
"<text class=\"l64t5\" dominant-baseline=\"central\" id=\"0x33a0a30\" text-anchor=\"middle\" transform=\"translate(2.3 27.2) scale(0.1) scale(1 -1)\">VPB</text>\n",
|
|
"<text class=\"l64t59\" dominant-baseline=\"central\" id=\"0x30ecb10\" text-anchor=\"middle\" transform=\"translate(2.3 0) scale(0.1) scale(1 -1)\">VNB</text>\n",
|
|
"<text class=\"l83t44\" dominant-baseline=\"text-before-edge\" id=\"0x3155a20\" text-anchor=\"start\" transform=\"translate(0 0) rotate(90) scale(0.1) scale(1 -1)\">fill_1</text>\n",
|
|
"</g>\n",
|
|
"</defs>\n",
|
|
"<rect fill=\"#222222\" height=\"550\" stroke=\"none\" width=\"450\" x=\"-25\" y=\"-525\"/>\n",
|
|
"<g id=\"cnt\" transform=\"scale(1 -1)\">\n",
|
|
"<polygon class=\"l235d4\" id=\"0x347b470\" points=\"0,0 400,0 400,500 0,500\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347b570\" points=\"111.85,374.85 113.55,374.85 113.55,376.55 111.85,376.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347b640\" points=\"236.05,374.85 237.75,374.85 237.75,376.55 236.05,376.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347b710\" points=\"79.65,371.45 81.35,371.45 81.35,373.15 79.65,373.15\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347b7e0\" points=\"98.05,368.05 99.75,368.05 99.75,369.75 98.05,369.75\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347b8b0\" points=\"121.05,368.05 122.75,368.05 122.75,369.75 121.05,369.75\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347b980\" points=\"245.25,368.05 246.95,368.05 246.95,369.75 245.25,369.75\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347ba50\" points=\"106.6,347.65 108.3,347.65 108.3,349.35 106.6,349.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347bb20\" points=\"208.45,344.25 210.15,344.25 210.15,345.95 208.45,345.95\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347bc80\" points=\"295.85,340.85 297.55,340.85 297.55,342.55 295.85,342.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347bd50\" points=\"93.45,337.45 95.15,337.45 95.15,339.15 93.45,339.15\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347be20\" points=\"309.65,337.45 311.35,337.45 311.35,339.15 309.65,339.15\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347bef0\" points=\"282.05,334.05 283.75,334.05 283.75,335.75 282.05,335.75\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347bfc0\" points=\"180.85,330.65 182.55,330.65 182.55,332.35 180.85,332.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347c090\" points=\"318.85,330.65 320.55,330.65 320.55,332.35 318.85,332.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347c160\" points=\"121.05,317.05 122.75,317.05 122.75,318.75 121.05,318.75\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347c230\" points=\"217.65,313.65 219.35,313.65 219.35,315.35 217.65,315.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347bb90\" points=\"107.25,310.25 108.95,310.25 108.95,311.95 107.25,311.95\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347c410\" points=\"231.45,310.25 233.15,310.25 233.15,311.95 231.45,311.95\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347c4e0\" points=\"194.65,303.45 196.35,303.45 196.35,305.15 194.65,305.15\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347c5b0\" points=\"305.05,303.45 306.75,303.45 306.75,305.15 305.05,305.15\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347c680\" points=\"180.85,293.25 182.55,293.25 182.55,294.95 180.85,294.95\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347c750\" points=\"93.45,283.05 95.15,283.05 95.15,284.75 93.45,284.75\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347c820\" points=\"300.45,283.05 302.15,283.05 302.15,284.75 300.45,284.75\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347c8f0\" points=\"107.25,279.65 108.95,279.65 108.95,281.35 107.25,281.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347c9c0\" points=\"236.05,276.25 237.75,276.25 237.75,277.95 236.05,277.95\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347ca90\" points=\"236.05,262.65 237.75,262.65 237.75,264.35 236.05,264.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347cb60\" points=\"84.25,259.25 85.95,259.25 85.95,260.95 84.25,260.95\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347cc30\" points=\"222.25,259.25 223.95,259.25 223.95,260.95 222.25,260.95\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347cd00\" points=\"79.65,255.85 81.35,255.85 81.35,257.55 79.65,257.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347cdd0\" points=\"107.25,255.85 108.95,255.85 108.95,257.55 107.25,257.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347cea0\" points=\"121.05,255.85 122.75,255.85 122.75,257.55 121.05,257.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347cf70\" points=\"102.65,252.45 104.35,252.45 104.35,254.15 102.65,254.15\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347c300\" points=\"194.65,249.05 196.35,249.05 196.35,250.75 194.65,250.75\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347d250\" points=\"309.65,249.05 311.35,249.05 311.35,250.75 309.65,250.75\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347d320\" points=\"93.45,238.85 95.15,238.85 95.15,240.55 93.45,240.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347d3f0\" points=\"300.45,238.85 302.15,238.85 302.15,240.55 300.45,240.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347d4c0\" points=\"157.85,228.65 159.55,228.65 159.55,230.35 157.85,230.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347d590\" points=\"236.05,228.65 237.75,228.65 237.75,230.35 236.05,230.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347d660\" points=\"107.25,211.65 108.95,211.65 108.95,213.35 107.25,213.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347d730\" points=\"199.25,208.25 200.95,208.25 200.95,209.95 199.25,209.95\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347d800\" points=\"102.65,204.85 104.35,204.85 104.35,206.55 102.65,206.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347d8d0\" points=\"111.85,204.85 113.55,204.85 113.55,206.55 111.85,206.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347d9a0\" points=\"190.05,204.85 191.75,204.85 191.75,206.55 190.05,206.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347da70\" points=\"213.05,204.85 214.75,204.85 214.75,206.55 213.05,206.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347db40\" points=\"226.85,201.45 228.55,201.45 228.55,203.15 226.85,203.15\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347dc10\" points=\"208.45,194.65 210.15,194.65 210.15,196.35 208.45,196.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3493a40\" points=\"300.45,194.65 302.15,194.65 302.15,196.35 300.45,196.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3493b10\" points=\"88.85,184.45 90.55,184.45 90.55,186.15 88.85,186.15\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3493be0\" points=\"121.05,184.45 122.75,184.45 122.75,186.15 121.05,186.15\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3493cb0\" points=\"130.25,184.45 131.95,184.45 131.95,186.15 130.25,186.15\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3493d80\" points=\"144.05,184.45 145.75,184.45 145.75,186.15 144.05,186.15\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3493e50\" points=\"208.45,184.45 210.15,184.45 210.15,186.15 208.45,186.15\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3493f20\" points=\"314.25,184.45 315.95,184.45 315.95,186.15 314.25,186.15\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3493ff0\" points=\"194.65,177.65 196.35,177.65 196.35,179.35 194.65,179.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34940c0\" points=\"231.45,177.65 233.15,177.65 233.15,179.35 231.45,179.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3494190\" points=\"291.25,177.65 292.95,177.65 292.95,179.35 291.25,179.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3494260\" points=\"295.85,177.65 297.55,177.65 297.55,179.35 295.85,179.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3494330\" points=\"84.25,174.25 85.95,174.25 85.95,175.95 84.25,175.95\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3494400\" points=\"102.65,174.25 104.35,174.25 104.35,175.95 102.65,175.95\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34944d0\" points=\"107.25,174.25 108.95,174.25 108.95,175.95 107.25,175.95\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34945a0\" points=\"144.05,174.25 145.75,174.25 145.75,175.95 144.05,175.95\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3494670\" points=\"157.85,174.25 159.55,174.25 159.55,175.95 157.85,175.95\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3494740\" points=\"190.05,174.25 191.75,174.25 191.75,175.95 190.05,175.95\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3494810\" points=\"226.85,174.25 228.55,174.25 228.55,175.95 226.85,175.95\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347d040\" points=\"259.05,174.25 260.75,174.25 260.75,175.95 259.05,175.95\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x347d110\" points=\"263.65,174.25 265.35,174.25 265.35,175.95 263.65,175.95\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3494c90\" points=\"277.45,174.25 279.15,174.25 279.15,175.95 277.45,175.95\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3494d60\" points=\"300.45,174.25 302.15,174.25 302.15,175.95 300.45,175.95\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3494e30\" points=\"305.05,174.25 306.75,174.25 306.75,175.95 305.05,175.95\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3494f00\" points=\"323.45,174.25 325.15,174.25 325.15,175.95 323.45,175.95\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3494fd0\" points=\"116.45,170.85 118.15,170.85 118.15,172.55 116.45,172.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34950a0\" points=\"139.45,170.85 141.15,170.85 141.15,172.55 139.45,172.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3495170\" points=\"75.05,167.45 76.75,167.45 76.75,169.15 75.05,169.15\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3495240\" points=\"98.05,167.45 99.75,167.45 99.75,169.15 98.05,169.15\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3495310\" points=\"129.45,167.45 131.15,167.45 131.15,169.15 129.45,169.15\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34953e0\" points=\"153.25,167.45 154.95,167.45 154.95,169.15 153.25,169.15\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34954b0\" points=\"249.85,167.45 251.55,167.45 251.55,169.15 249.85,169.15\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3495580\" points=\"268.25,167.45 269.95,167.45 269.95,169.15 268.25,169.15\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3495650\" points=\"282.05,167.45 283.75,167.45 283.75,169.15 282.05,169.15\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3495720\" points=\"231.45,157.25 233.15,157.25 233.15,158.95 231.45,158.95\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34957f0\" points=\"245.25,157.25 246.95,157.25 246.95,158.95 245.25,158.95\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34958c0\" points=\"300.45,153.85 302.15,153.85 302.15,155.55 300.45,155.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3495990\" points=\"84.25,150.45 85.95,150.45 85.95,152.15 84.25,152.15\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3495a60\" points=\"240.65,150.45 242.35,150.45 242.35,152.15 240.65,152.15\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3495b30\" points=\"263.65,150.45 265.35,150.45 265.35,152.15 263.65,152.15\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3495c00\" points=\"88.85,147.05 90.55,147.05 90.55,148.75 88.85,148.75\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3495cd0\" points=\"102.65,147.05 104.35,147.05 104.35,148.75 102.65,148.75\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3495da0\" points=\"259.05,147.05 260.75,147.05 260.75,148.75 259.05,148.75\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3495e70\" points=\"277.45,143.65 279.15,143.65 279.15,145.35 277.45,145.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3495f40\" points=\"282.05,143.65 283.75,143.65 283.75,145.35 282.05,145.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3496010\" points=\"222.25,130.05 223.95,130.05 223.95,131.75 222.25,131.75\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34960e0\" points=\"305.05,130.05 306.75,130.05 306.75,131.75 305.05,131.75\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34961b0\" points=\"240.65,126.65 242.35,126.65 242.35,128.35 240.65,128.35\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3496280\" points=\"286.65,123.25 288.35,123.25 288.35,124.95 286.65,124.95\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3496350\" points=\"180.85,119.85 182.55,119.85 182.55,121.55 180.85,121.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3496420\" points=\"217.65,119.85 219.35,119.85 219.35,121.55 217.65,121.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34964f0\" points=\"226.85,119.85 228.55,119.85 228.55,121.55 226.85,121.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34965c0\" points=\"249.85,119.85 251.55,119.85 251.55,121.55 249.85,121.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3496690\" points=\"295.85,119.85 297.55,119.85 297.55,121.55 295.85,121.55\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3496760\" points=\"70.45,116.45 72.15,116.45 72.15,118.15 70.45,118.15\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3496830\" points=\"88.85,116.45 90.55,116.45 90.55,118.15 88.85,118.15\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3496900\" points=\"263.65,116.45 265.35,116.45 265.35,118.15 263.65,118.15\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x34969d0\" points=\"282.05,116.45 283.75,116.45 283.75,118.15 282.05,118.15\"/>\n",
|
|
"<polygon class=\"l67d20\" id=\"0x3496aa0\" points=\"171.65,113.05 173.35,113.05 173.35,114.75 171.65,114.75\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3496b70\" points=\"111.85,374.85 113.55,374.85 113.55,376.55 111.85,376.55\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3496c40\" points=\"236.05,374.85 237.75,374.85 237.75,376.55 236.05,376.55\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3496d10\" points=\"79.65,371.45 81.35,371.45 81.35,373.15 79.65,373.15\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3496de0\" points=\"98.05,368.05 99.75,368.05 99.75,369.75 98.05,369.75\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3496eb0\" points=\"121.05,368.05 122.75,368.05 122.75,369.75 121.05,369.75\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3496f80\" points=\"245.25,368.05 246.95,368.05 246.95,369.75 245.25,369.75\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3441280\" points=\"106.6,347.65 108.3,347.65 108.3,349.35 106.6,349.35\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3441350\" points=\"208.45,344.25 210.15,344.25 210.15,345.95 208.45,345.95\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3441420\" points=\"295.85,340.85 297.55,340.85 297.55,342.55 295.85,342.55\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34414f0\" points=\"93.45,337.45 95.15,337.45 95.15,339.15 93.45,339.15\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34415c0\" points=\"309.65,337.45 311.35,337.45 311.35,339.15 309.65,339.15\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3441690\" points=\"282.05,334.05 283.75,334.05 283.75,335.75 282.05,335.75\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3441760\" points=\"180.85,330.65 182.55,330.65 182.55,332.35 180.85,332.35\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3441830\" points=\"318.85,330.65 320.55,330.65 320.55,332.35 318.85,332.35\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3441900\" points=\"121.05,317.05 122.75,317.05 122.75,318.75 121.05,318.75\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34419d0\" points=\"217.65,313.65 219.35,313.65 219.35,315.35 217.65,315.35\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3441aa0\" points=\"107.25,310.25 108.95,310.25 108.95,311.95 107.25,311.95\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3441b70\" points=\"231.45,310.25 233.15,310.25 233.15,311.95 231.45,311.95\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3441c40\" points=\"194.65,303.45 196.35,303.45 196.35,305.15 194.65,305.15\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3441d10\" points=\"305.05,303.45 306.75,303.45 306.75,305.15 305.05,305.15\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3441de0\" points=\"180.85,293.25 182.55,293.25 182.55,294.95 180.85,294.95\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3441eb0\" points=\"93.45,283.05 95.15,283.05 95.15,284.75 93.45,284.75\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3441f80\" points=\"300.45,283.05 302.15,283.05 302.15,284.75 300.45,284.75\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3442050\" points=\"107.25,279.65 108.95,279.65 108.95,281.35 107.25,281.35\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34948e0\" points=\"236.05,276.25 237.75,276.25 237.75,277.95 236.05,277.95\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34949b0\" points=\"236.05,262.65 237.75,262.65 237.75,264.35 236.05,264.35\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3494a80\" points=\"84.25,259.25 85.95,259.25 85.95,260.95 84.25,260.95\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3494b50\" points=\"222.25,259.25 223.95,259.25 223.95,260.95 222.25,260.95\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3494c20\" points=\"79.65,255.85 81.35,255.85 81.35,257.55 79.65,257.55\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3442930\" points=\"107.25,255.85 108.95,255.85 108.95,257.55 107.25,257.55\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3442a00\" points=\"121.05,255.85 122.75,255.85 122.75,257.55 121.05,257.55\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3442ad0\" points=\"102.65,252.45 104.35,252.45 104.35,254.15 102.65,254.15\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3442ba0\" points=\"194.65,249.05 196.35,249.05 196.35,250.75 194.65,250.75\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3442c70\" points=\"309.65,249.05 311.35,249.05 311.35,250.75 309.65,250.75\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3442d40\" points=\"93.45,238.85 95.15,238.85 95.15,240.55 93.45,240.55\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3442e10\" points=\"300.45,238.85 302.15,238.85 302.15,240.55 300.45,240.55\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3442ee0\" points=\"157.85,228.65 159.55,228.65 159.55,230.35 157.85,230.35\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3442fb0\" points=\"236.05,228.65 237.75,228.65 237.75,230.35 236.05,230.35\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3443080\" points=\"107.25,211.65 108.95,211.65 108.95,213.35 107.25,213.35\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3443150\" points=\"199.25,208.25 200.95,208.25 200.95,209.95 199.25,209.95\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3443220\" points=\"102.65,204.85 104.35,204.85 104.35,206.55 102.65,206.55\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34432f0\" points=\"111.85,204.85 113.55,204.85 113.55,206.55 111.85,206.55\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34433c0\" points=\"190.05,204.85 191.75,204.85 191.75,206.55 190.05,206.55\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3443490\" points=\"213.05,204.85 214.75,204.85 214.75,206.55 213.05,206.55\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3443560\" points=\"226.85,201.45 228.55,201.45 228.55,203.15 226.85,203.15\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3443630\" points=\"208.45,194.65 210.15,194.65 210.15,196.35 208.45,196.35\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3443700\" points=\"300.45,194.65 302.15,194.65 302.15,196.35 300.45,196.35\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34437d0\" points=\"88.85,184.45 90.55,184.45 90.55,186.15 88.85,186.15\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34438a0\" points=\"121.05,184.45 122.75,184.45 122.75,186.15 121.05,186.15\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3443970\" points=\"130.25,184.45 131.95,184.45 131.95,186.15 130.25,186.15\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3443a40\" points=\"144.05,184.45 145.75,184.45 145.75,186.15 144.05,186.15\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3443b10\" points=\"208.45,184.45 210.15,184.45 210.15,186.15 208.45,186.15\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3443be0\" points=\"314.25,184.45 315.95,184.45 315.95,186.15 314.25,186.15\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3443cb0\" points=\"194.65,177.65 196.35,177.65 196.35,179.35 194.65,179.35\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3443d80\" points=\"231.45,177.65 233.15,177.65 233.15,179.35 231.45,179.35\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3443e50\" points=\"291.25,177.65 292.95,177.65 292.95,179.35 291.25,179.35\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3443f20\" points=\"295.85,177.65 297.55,177.65 297.55,179.35 295.85,179.35\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3443ff0\" points=\"84.25,174.25 85.95,174.25 85.95,175.95 84.25,175.95\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34440c0\" points=\"102.65,174.25 104.35,174.25 104.35,175.95 102.65,175.95\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3444190\" points=\"107.25,174.25 108.95,174.25 108.95,175.95 107.25,175.95\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3444260\" points=\"144.05,174.25 145.75,174.25 145.75,175.95 144.05,175.95\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3444330\" points=\"157.85,174.25 159.55,174.25 159.55,175.95 157.85,175.95\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3444400\" points=\"190.05,174.25 191.75,174.25 191.75,175.95 190.05,175.95\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34444d0\" points=\"226.85,174.25 228.55,174.25 228.55,175.95 226.85,175.95\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34445a0\" points=\"259.05,174.25 260.75,174.25 260.75,175.95 259.05,175.95\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3444670\" points=\"263.65,174.25 265.35,174.25 265.35,175.95 263.65,175.95\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3444740\" points=\"277.45,174.25 279.15,174.25 279.15,175.95 277.45,175.95\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3444810\" points=\"300.45,174.25 302.15,174.25 302.15,175.95 300.45,175.95\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34448e0\" points=\"305.05,174.25 306.75,174.25 306.75,175.95 305.05,175.95\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34449b0\" points=\"323.45,174.25 325.15,174.25 325.15,175.95 323.45,175.95\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3444a80\" points=\"116.45,170.85 118.15,170.85 118.15,172.55 116.45,172.55\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3444b50\" points=\"139.45,170.85 141.15,170.85 141.15,172.55 139.45,172.55\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3444c20\" points=\"75.05,167.45 76.75,167.45 76.75,169.15 75.05,169.15\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3444cf0\" points=\"98.05,167.45 99.75,167.45 99.75,169.15 98.05,169.15\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3444dc0\" points=\"129.45,167.45 131.15,167.45 131.15,169.15 129.45,169.15\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3444e90\" points=\"153.25,167.45 154.95,167.45 154.95,169.15 153.25,169.15\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3444f60\" points=\"249.85,167.45 251.55,167.45 251.55,169.15 249.85,169.15\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3445030\" points=\"268.25,167.45 269.95,167.45 269.95,169.15 268.25,169.15\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x3445100\" points=\"282.05,167.45 283.75,167.45 283.75,169.15 282.05,169.15\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34451d0\" points=\"231.45,157.25 233.15,157.25 233.15,158.95 231.45,158.95\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34bcab0\" points=\"245.25,157.25 246.95,157.25 246.95,158.95 245.25,158.95\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34bcb80\" points=\"300.45,153.85 302.15,153.85 302.15,155.55 300.45,155.55\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34bcc50\" points=\"84.25,150.45 85.95,150.45 85.95,152.15 84.25,152.15\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34bcd20\" points=\"240.65,150.45 242.35,150.45 242.35,152.15 240.65,152.15\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34bcdf0\" points=\"263.65,150.45 265.35,150.45 265.35,152.15 263.65,152.15\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34bcec0\" points=\"88.85,147.05 90.55,147.05 90.55,148.75 88.85,148.75\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34bcf90\" points=\"102.65,147.05 104.35,147.05 104.35,148.75 102.65,148.75\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34bd060\" points=\"259.05,147.05 260.75,147.05 260.75,148.75 259.05,148.75\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34bd130\" points=\"277.45,143.65 279.15,143.65 279.15,145.35 277.45,145.35\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34bd200\" points=\"282.05,143.65 283.75,143.65 283.75,145.35 282.05,145.35\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34bd2d0\" points=\"222.25,130.05 223.95,130.05 223.95,131.75 222.25,131.75\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34bd3a0\" points=\"305.05,130.05 306.75,130.05 306.75,131.75 305.05,131.75\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34bd470\" points=\"240.65,126.65 242.35,126.65 242.35,128.35 240.65,128.35\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34bd540\" points=\"286.65,123.25 288.35,123.25 288.35,124.95 286.65,124.95\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34bd610\" points=\"180.85,119.85 182.55,119.85 182.55,121.55 180.85,121.55\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34bd6e0\" points=\"217.65,119.85 219.35,119.85 219.35,121.55 217.65,121.55\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34bd7b0\" points=\"226.85,119.85 228.55,119.85 228.55,121.55 226.85,121.55\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34bd880\" points=\"249.85,119.85 251.55,119.85 251.55,121.55 249.85,121.55\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34bd950\" points=\"295.85,119.85 297.55,119.85 297.55,121.55 295.85,121.55\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34bda20\" points=\"70.45,116.45 72.15,116.45 72.15,118.15 70.45,118.15\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34bdaf0\" points=\"88.85,116.45 90.55,116.45 90.55,118.15 88.85,118.15\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34bdbc0\" points=\"263.65,116.45 265.35,116.45 265.35,118.15 263.65,118.15\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34bdc90\" points=\"282.05,116.45 283.75,116.45 283.75,118.15 282.05,118.15\"/>\n",
|
|
"<polygon class=\"l67d44\" id=\"0x34bdd60\" points=\"171.65,113.05 173.35,113.05 173.35,114.75 171.65,114.75\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34bde30\" points=\"55.2,378.4 340.4,378.4 340.4,383.2 55.2,383.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34bdf00\" points=\"65.1,376.4 68.3,376.4 68.3,377 65.1,377\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34bdfd0\" points=\"111.25,376.4 114.15,376.4 114.15,376.85 111.25,376.85\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34be0a0\" points=\"65.1,375 114.15,375 114.15,376.4 65.1,376.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34be170\" points=\"65.1,374.4 68.3,374.4 68.3,375 65.1,375\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34be240\" points=\"111.25,374.55 114.15,374.55 114.15,375 111.25,375\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34be310\" points=\"235.3,374.4 238.5,374.4 238.5,377 235.3,377\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34be3e0\" points=\"32.9,373 36.1,373 36.1,373.6 32.9,373.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34be4b0\" points=\"79.05,373 81.95,373 81.95,373.45 79.05,373.45\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34be580\" points=\"32.9,371.6 81.95,371.6 81.95,373 32.9,373\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34be650\" points=\"32.9,371 36.1,371 36.1,371.6 32.9,371.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34be720\" points=\"79.05,371.15 81.95,371.15 81.95,371.6 79.05,371.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34be7f0\" points=\"74.3,369.6 77.5,369.6 77.5,370.2 74.3,370.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34be8c0\" points=\"97.45,369.6 100.35,369.6 100.35,370.05 97.45,370.05\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34be990\" points=\"74.3,368.2 100.35,368.2 100.35,369.6 74.3,369.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34bea60\" points=\"74.3,367.6 77.5,367.6 77.5,368.2 74.3,368.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34beb30\" points=\"97.45,367.75 100.35,367.75 100.35,368.2 97.45,368.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34bec00\" points=\"120.45,369.6 123.35,369.6 123.35,370.05 120.45,370.05\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34becd0\" points=\"124.9,369.6 128.1,369.6 128.1,370.2 124.9,370.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34beda0\" points=\"120.45,368.2 128.1,368.2 128.1,369.6 120.45,369.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34bee70\" points=\"120.45,367.75 123.35,367.75 123.35,368.2 120.45,368.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34bef40\" points=\"124.9,367.6 128.1,367.6 128.1,368.2 124.9,368.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34bf010\" points=\"207.7,369.6 210.9,369.6 210.9,370.2 207.7,370.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34bf0e0\" points=\"244.65,369.6 247.55,369.6 247.55,370.05 244.65,370.05\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34bf1b0\" points=\"207.7,368.2 247.55,368.2 247.55,369.6 207.7,369.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34bf280\" points=\"207.7,367.6 210.9,367.6 210.9,368.2 207.7,368.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34bf350\" points=\"244.65,367.75 247.55,367.75 247.55,368.2 244.65,368.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34bf420\" points=\"47.2,351.2 340.4,351.2 340.4,356 47.2,356\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34bf4f0\" points=\"106,349.2 108.9,349.2 108.9,349.65 106,349.65\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34bf5c0\" points=\"221.5,349.2 224.7,349.2 224.7,349.8 221.5,349.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34bf690\" points=\"106,347.8 224.7,347.8 224.7,349.2 106,349.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34bf760\" points=\"106,347.35 108.9,347.35 108.9,347.8 106,347.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34bf830\" points=\"221.5,347.2 224.7,347.2 224.7,347.8 221.5,347.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34bf900\" points=\"207.7,345.8 210.9,345.8 210.9,346.4 207.7,346.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34bf9d0\" points=\"226.1,345.8 229.3,345.8 229.3,346.4 226.1,346.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34bfaa0\" points=\"207.7,344.4 229.3,344.4 229.3,345.8 207.7,345.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34bfb70\" points=\"207.7,343.8 210.9,343.8 210.9,344.4 207.7,344.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34bfc40\" points=\"226.1,343.8 229.3,343.8 229.3,344.4 226.1,344.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34bfd10\" points=\"216.9,342.4 220.1,342.4 220.1,343 216.9,343\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34bfde0\" points=\"295.25,342.4 298.15,342.4 298.15,342.85 295.25,342.85\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34bfeb0\" points=\"216.9,341 298.15,341 298.15,342.4 216.9,342.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34bff80\" points=\"216.9,340.4 220.1,340.4 220.1,341 216.9,341\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c0050\" points=\"295.25,340.55 298.15,340.55 298.15,341 295.25,341\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c0120\" points=\"92.7,337 95.9,337 95.9,339.6 92.7,339.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c01f0\" points=\"309.05,337.15 311.95,337.15 311.95,339.45 309.05,339.45\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c02c0\" points=\"212.3,335.6 215.5,335.6 215.5,336.2 212.3,336.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c0390\" points=\"167.9,334.2 223.1,334.2 223.1,335.6 167.9,335.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c0460\" points=\"212.3,333.6 215.5,333.6 215.5,334.2 212.3,334.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x3442120\" points=\"281.3,333.6 284.5,333.6 284.5,336.2 281.3,336.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34421f0\" points=\"180.25,332.2 183.15,332.2 183.15,332.65 180.25,332.65\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34422c0\" points=\"203.1,332.2 206.3,332.2 206.3,332.8 203.1,332.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x3442390\" points=\"309.8,332.2 311.2,332.2 311.2,337.15 309.8,337.15\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x3442460\" points=\"180.25,330.8 311.2,330.8 311.2,332.2 180.25,332.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x3442530\" points=\"180.25,330.35 183.15,330.35 183.15,330.8 180.25,330.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x3442600\" points=\"203.1,330.2 206.3,330.2 206.3,330.8 203.1,330.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34426d0\" points=\"318.1,330.2 321.3,330.2 321.3,332.8 318.1,332.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34427a0\" points=\"55.2,324 340.4,324 340.4,328.8 55.2,328.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c04d0\" points=\"101.9,318.6 105.1,318.6 105.1,319.2 101.9,319.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c0540\" points=\"120.45,318.6 123.35,318.6 123.35,319.05 120.45,319.05\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c0610\" points=\"212.3,318.6 215.5,318.6 215.5,319.2 212.3,319.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c06e0\" points=\"101.9,317.2 123.35,317.2 123.35,318.6 101.9,318.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c07b0\" points=\"181.7,317.2 255.3,317.2 255.3,318.6 181.7,318.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c0880\" points=\"101.9,316.6 105.1,316.6 105.1,317.2 101.9,317.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c0950\" points=\"120.45,316.75 123.35,316.75 123.35,317.2 120.45,317.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c0a20\" points=\"212.3,316.6 215.5,316.6 215.5,317.2 212.3,317.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c0af0\" points=\"216.9,313.2 220.1,313.2 220.1,315.8 216.9,315.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c0bc0\" points=\"97.3,311.8 100.5,311.8 100.5,312.4 97.3,312.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c0c90\" points=\"106.65,311.8 109.55,311.8 109.55,312.25 106.65,312.25\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c21c0\" points=\"97.3,310.4 109.55,310.4 109.55,311.8 97.3,311.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c2230\" points=\"97.3,309.8 100.5,309.8 100.5,310.4 97.3,310.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c2300\" points=\"106.65,309.95 109.55,309.95 109.55,310.4 106.65,310.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c23d0\" points=\"207.7,311.8 210.9,311.8 210.9,312.4 207.7,312.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c24a0\" points=\"230.85,311.8 233.75,311.8 233.75,312.25 230.85,312.25\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c2570\" points=\"207.7,310.4 233.75,310.4 233.75,311.8 207.7,311.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c2640\" points=\"207.7,309.8 210.9,309.8 210.9,310.4 207.7,310.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c2710\" points=\"230.85,309.95 233.75,309.95 233.75,310.4 230.85,310.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c27e0\" points=\"74.3,305 77.5,305 77.5,305.6 74.3,305.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c28b0\" points=\"194.05,305 196.95,305 196.95,305.45 194.05,305.45\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c2980\" points=\"74.3,303.6 196.95,303.6 196.95,305 74.3,305\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c2a50\" points=\"74.3,303 77.5,303 77.5,303.6 74.3,303.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c2b20\" points=\"194.05,303.15 196.95,303.15 196.95,303.6 194.05,303.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c2bf0\" points=\"304.45,305 307.35,305 307.35,305.45 304.45,305.45\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c2cc0\" points=\"308.9,305 312.1,305 312.1,305.6 308.9,305.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c2d90\" points=\"304.45,303.6 312.1,303.6 312.1,305 304.45,305\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c2e60\" points=\"304.45,303.15 307.35,303.15 307.35,303.6 304.45,303.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c2f30\" points=\"308.9,303 312.1,303 312.1,303.6 308.9,303.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c3000\" points=\"47.2,296.8 340.4,296.8 340.4,301.6 47.2,301.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c30d0\" points=\"124.9,294.8 128.1,294.8 128.1,295.4 124.9,295.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c31a0\" points=\"180.25,294.8 183.15,294.8 183.15,295.25 180.25,295.25\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c3270\" points=\"124.9,293.4 183.15,293.4 183.15,294.8 124.9,294.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c3340\" points=\"124.9,292.8 128.1,292.8 128.1,293.4 124.9,293.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c3410\" points=\"180.25,292.95 183.15,292.95 183.15,293.4 180.25,293.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c34e0\" points=\"92.7,282.6 95.9,282.6 95.9,285.2 92.7,285.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c35b0\" points=\"299.85,284.6 302.75,284.6 302.75,285.05 299.85,285.05\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c3680\" points=\"387.1,284.6 390.3,284.6 390.3,285.2 387.1,285.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c3750\" points=\"299.85,283.2 390.3,283.2 390.3,284.6 299.85,284.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c3820\" points=\"299.85,282.75 302.75,282.75 302.75,283.2 299.85,283.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c38f0\" points=\"387.1,282.6 390.3,282.6 390.3,283.2 387.1,283.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c39c0\" points=\"106.5,279.2 109.7,279.2 109.7,281.8 106.5,281.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c3a90\" points=\"212.3,281.2 215.5,281.2 215.5,281.8 212.3,281.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c3b60\" points=\"167.9,279.8 215.5,279.8 215.5,281.2 167.9,281.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c3c30\" points=\"212.3,279.2 215.5,279.2 215.5,279.8 212.3,279.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c3d00\" points=\"235.3,275.8 238.5,275.8 238.5,278.4 235.3,278.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c3dd0\" points=\"55.2,269.6 340.4,269.6 340.4,274.4 55.2,274.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c3ea0\" points=\"212.3,267.6 215.5,267.6 215.5,268.2 212.3,268.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c3f70\" points=\"212.3,266.2 242.2,266.2 242.2,267.6 212.3,267.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c4040\" points=\"212.3,265.6 215.5,265.6 215.5,266.2 212.3,266.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c4110\" points=\"74.3,264.2 77.5,264.2 77.5,264.8 74.3,264.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c41e0\" points=\"213.2,264.2 214.6,264.2 214.6,265.6 213.2,265.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c42b0\" points=\"240.8,264.8 242.2,264.8 242.2,266.2 240.8,266.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c4380\" points=\"74.3,262.8 85.8,262.8 85.8,264.2 74.3,264.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c4450\" points=\"181.7,262.8 214.6,262.8 214.6,264.2 181.7,264.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c4520\" points=\"230.7,264.2 233.9,264.2 233.9,264.8 230.7,264.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c45f0\" points=\"235.45,264.2 238.35,264.2 238.35,264.65 235.45,264.65\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c46c0\" points=\"230.7,262.8 238.35,262.8 238.35,264.2 230.7,264.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c4790\" points=\"74.3,262.2 77.5,262.2 77.5,262.8 74.3,262.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c4860\" points=\"84.4,261.25 85.8,261.25 85.8,262.8 84.4,262.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c4930\" points=\"230.7,262.2 233.9,262.2 233.9,262.8 230.7,262.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c4a00\" points=\"235.45,262.35 238.35,262.35 238.35,262.8 235.45,262.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c4ad0\" points=\"239.9,264.2 243.1,264.2 243.1,264.8 239.9,264.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c4ba0\" points=\"239.9,262.8 259.9,262.8 259.9,264.2 239.9,264.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c4c70\" points=\"239.9,262.2 243.1,262.2 243.1,262.8 239.9,262.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c4d40\" points=\"83.65,258.95 86.55,258.95 86.55,261.25 83.65,261.25\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c4e10\" points=\"216.9,260.8 220.1,260.8 220.1,261.4 216.9,261.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c4ee0\" points=\"221.65,260.8 224.55,260.8 224.55,261.25 221.65,261.25\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c4fb0\" points=\"216.9,259.4 224.55,259.4 224.55,260.8 216.9,260.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c5080\" points=\"216.9,258.8 220.1,258.8 220.1,259.4 216.9,259.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c5150\" points=\"221.65,258.95 224.55,258.95 224.55,259.4 221.65,259.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c5220\" points=\"74.3,257.4 77.5,257.4 77.5,258 74.3,258\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c52f0\" points=\"79.05,257.4 81.95,257.4 81.95,257.85 79.05,257.85\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c53c0\" points=\"74.3,256 81.95,256 81.95,257.4 74.3,257.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c5490\" points=\"74.3,255.4 77.5,255.4 77.5,256 74.3,256\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c5560\" points=\"79.05,255.55 81.95,255.55 81.95,256 79.05,256\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c5630\" points=\"92.7,257.4 95.9,257.4 95.9,258 92.7,258\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c5700\" points=\"106.65,257.4 109.55,257.4 109.55,257.85 106.65,257.85\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c57d0\" points=\"92.7,256 109.55,256 109.55,257.4 92.7,257.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c58a0\" points=\"92.7,255.4 95.9,255.4 95.9,256 92.7,256\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c5970\" points=\"106.65,255.55 109.55,255.55 109.55,256 106.65,256\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c5a40\" points=\"120.3,255.4 123.5,255.4 123.5,258 120.3,258\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c5b10\" points=\"101.9,252 105.1,252 105.1,254.6 101.9,254.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c5be0\" points=\"147.9,250.6 151.1,250.6 151.1,251.2 147.9,251.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c5cb0\" points=\"194.05,250.6 196.95,250.6 196.95,251.05 194.05,251.05\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c5d80\" points=\"147.9,249.2 196.95,249.2 196.95,250.6 147.9,250.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c5e50\" points=\"147.9,248.6 151.1,248.6 151.1,249.2 147.9,249.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c5f20\" points=\"194.05,248.75 196.95,248.75 196.95,249.2 194.05,249.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c5ff0\" points=\"304.3,250.6 307.5,250.6 307.5,251.2 304.3,251.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c60c0\" points=\"309.05,250.6 311.95,250.6 311.95,251.05 309.05,251.05\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c6190\" points=\"304.3,249.2 311.95,249.2 311.95,250.6 304.3,250.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c6260\" points=\"304.3,248.6 307.5,248.6 307.5,249.2 304.3,249.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c6330\" points=\"309.05,248.75 311.95,248.75 311.95,249.2 309.05,249.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c6400\" points=\"47.2,242.4 340.4,242.4 340.4,247.2 47.2,247.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c64d0\" points=\"92.7,238.4 95.9,238.4 95.9,241 92.7,241\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c65a0\" points=\"216.9,240.4 220.1,240.4 220.1,241 216.9,241\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c6670\" points=\"299.85,240.4 302.75,240.4 302.75,240.85 299.85,240.85\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c6740\" points=\"216.9,239 302.75,239 302.75,240.4 216.9,240.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c6810\" points=\"216.9,238.4 220.1,238.4 220.1,239 216.9,239\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c68e0\" points=\"299.85,238.55 302.75,238.55 302.75,239 299.85,239\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c69b0\" points=\"157.25,230.2 160.15,230.2 160.15,230.65 157.25,230.65\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c6a80\" points=\"235.3,230.2 238.5,230.2 238.5,230.8 235.3,230.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c6b50\" points=\"157.25,228.8 238.5,228.8 238.5,230.2 157.25,230.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c6c20\" points=\"157.25,228.35 160.15,228.35 160.15,228.8 157.25,228.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c6cf0\" points=\"235.3,228.2 238.5,228.2 238.5,228.8 235.3,228.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c6dc0\" points=\"55.2,215.2 340.4,215.2 340.4,220 55.2,220\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c6e90\" points=\"106.65,213.2 109.55,213.2 109.55,213.65 106.65,213.65\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c6f60\" points=\"120.3,213.2 123.5,213.2 123.5,213.8 120.3,213.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c7030\" points=\"272.1,213.2 275.3,213.2 275.3,213.8 272.1,213.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c7100\" points=\"106.65,211.8 123.5,211.8 123.5,213.2 106.65,213.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c71d0\" points=\"106.65,211.35 109.55,211.35 109.55,211.8 106.65,211.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c72a0\" points=\"120.3,211.2 123.5,211.2 123.5,211.8 120.3,211.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c7370\" points=\"227,211.8 275.3,211.8 275.3,213.2 227,213.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c7440\" points=\"227,210.4 228.4,210.4 228.4,211.8 227,211.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c7510\" points=\"272.1,211.2 275.3,211.2 275.3,211.8 272.1,211.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c75e0\" points=\"198.65,209.8 201.55,209.8 201.55,210.25 198.65,210.25\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c76b0\" points=\"226.1,209.8 229.3,209.8 229.3,210.4 226.1,210.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c7780\" points=\"198.65,208.4 229.3,208.4 229.3,209.8 198.65,209.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c7850\" points=\"198.65,207.95 201.55,207.95 201.55,208.4 198.65,208.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c7920\" points=\"226.1,207.8 229.3,207.8 229.3,208.4 226.1,208.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c79f0\" points=\"239.9,209.8 243.1,209.8 243.1,210.4 239.9,210.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c7ac0\" points=\"239.9,208.4 250.7,208.4 250.7,209.8 239.9,209.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c7b90\" points=\"239.9,207.8 243.1,207.8 243.1,208.4 239.9,208.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c7c60\" points=\"97.3,206.4 100.5,206.4 100.5,207 97.3,207\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c7d30\" points=\"102.05,206.4 104.95,206.4 104.95,206.85 102.05,206.85\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c7e00\" points=\"97.3,205 104.95,205 104.95,206.4 97.3,206.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c7ed0\" points=\"97.3,204.4 100.5,204.4 100.5,205 97.3,205\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c7fa0\" points=\"102.05,204.55 104.95,204.55 104.95,205 102.05,205\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c8070\" points=\"111.25,206.4 114.15,206.4 114.15,206.85 111.25,206.85\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c8140\" points=\"143.3,206.4 146.5,206.4 146.5,207 143.3,207\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c8210\" points=\"111.25,205 146.5,205 146.5,206.4 111.25,206.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c82e0\" points=\"111.25,204.55 114.15,204.55 114.15,205 111.25,205\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c83b0\" points=\"143.3,204.4 146.5,204.4 146.5,205 143.3,205\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c8480\" points=\"189.45,206.4 192.35,206.4 192.35,206.85 189.45,206.85\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c8550\" points=\"189.45,205 200.8,205 200.8,206.4 189.45,206.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c8620\" points=\"189.45,204.55 192.35,204.55 192.35,205 189.45,205\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c86f0\" points=\"199.4,203.6 200.8,203.6 200.8,205 199.4,205\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c87c0\" points=\"212.3,204.4 215.5,204.4 215.5,207 212.3,207\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c8890\" points=\"198.5,201 201.7,201 201.7,203.6 198.5,203.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c8960\" points=\"226.25,203 229.15,203 229.15,203.45 226.25,203.45\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c8a30\" points=\"244.5,203 247.7,203 247.7,203.6 244.5,203.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c8b00\" points=\"226.25,201.6 247.7,201.6 247.7,203 226.25,203\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c8bd0\" points=\"226.25,201.15 229.15,201.15 229.15,201.6 226.25,201.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c8ca0\" points=\"244.5,201 247.7,201 247.7,201.6 244.5,201.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c8d70\" points=\"295.1,199.6 298.3,199.6 298.3,200.2 295.1,200.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c8e40\" points=\"286.8,198.2 298.3,198.2 298.3,199.6 286.8,199.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c8f10\" points=\"207.85,196.2 210.75,196.2 210.75,196.65 207.85,196.65\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c8fe0\" points=\"286.8,196.2 288.2,196.2 288.2,198.2 286.8,198.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c90b0\" points=\"295.1,197.6 298.3,197.6 298.3,198.2 295.1,198.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c9180\" points=\"207.85,194.8 288.2,194.8 288.2,196.2 207.85,196.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c9250\" points=\"290.5,196.2 293.7,196.2 293.7,196.8 290.5,196.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c9320\" points=\"299.85,196.2 302.75,196.2 302.75,196.65 299.85,196.65\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c93f0\" points=\"290.5,194.8 302.75,194.8 302.75,196.2 290.5,196.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c94c0\" points=\"207.85,194.35 210.75,194.35 210.75,194.8 207.85,194.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c9590\" points=\"290.5,194.2 293.7,194.2 293.7,194.8 290.5,194.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c9660\" points=\"299.85,194.35 302.75,194.35 302.75,194.8 299.85,194.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c9730\" points=\"47.2,188 340.4,188 340.4,192.8 47.2,192.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c9800\" points=\"74.3,186 77.5,186 77.5,186.6 74.3,186.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c98d0\" points=\"88.25,186 91.15,186 91.15,186.45 88.25,186.45\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c99a0\" points=\"74.3,184.6 91.15,184.6 91.15,186 74.3,186\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c9e90\" points=\"74.3,184 77.5,184 77.5,184.6 74.3,184.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c9f60\" points=\"88.25,184.15 91.15,184.15 91.15,184.6 88.25,184.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34ca030\" points=\"97.3,186 100.5,186 100.5,186.6 97.3,186.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34ca100\" points=\"120.45,186 123.35,186 123.35,186.45 120.45,186.45\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34ca1d0\" points=\"97.3,184.6 123.35,184.6 123.35,186 97.3,186\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34ca2a0\" points=\"97.3,184 100.5,184 100.5,184.6 97.3,184.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34ca370\" points=\"120.45,184.15 123.35,184.15 123.35,184.6 120.45,184.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34ca440\" points=\"129.65,186 132.55,186 132.55,186.45 129.65,186.45\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34ca510\" points=\"138.7,186 141.9,186 141.9,186.6 138.7,186.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34ca5e0\" points=\"129.65,184.6 141.9,184.6 141.9,186 129.65,186\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34ca6b0\" points=\"129.65,184.15 132.55,184.15 132.55,184.6 129.65,184.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34ca780\" points=\"138.7,184 141.9,184 141.9,184.6 138.7,184.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34ca850\" points=\"143.3,184 146.5,184 146.5,186.6 143.3,186.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34ca920\" points=\"207.85,186 210.75,186 210.75,186.45 207.85,186.45\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34ca9f0\" points=\"221.5,186 224.7,186 224.7,186.6 221.5,186.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34caac0\" points=\"148.8,184.6 205.4,184.6 205.4,186 148.8,186\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cab90\" points=\"148.8,182.6 150.2,182.6 150.2,184.6 148.8,184.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cac60\" points=\"84.4,181.2 150.2,181.2 150.2,182.6 84.4,182.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cad30\" points=\"204,182.6 205.4,182.6 205.4,184.6 204,184.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cae00\" points=\"207.85,184.6 224.7,184.6 224.7,186 207.85,186\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34caed0\" points=\"207.85,184.15 210.75,184.15 210.75,184.6 207.85,184.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cafa0\" points=\"221.5,184 224.7,184 224.7,184.6 221.5,184.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cb070\" points=\"285.9,186 289.1,186 289.1,186.6 285.9,186.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cb140\" points=\"313.65,186 316.55,186 316.55,186.45 313.65,186.45\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cb210\" points=\"285.9,184.6 316.55,184.6 316.55,186 285.9,186\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cb2e0\" points=\"285.9,184 289.1,184 289.1,184.6 285.9,184.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cb3b0\" points=\"313.65,184.15 316.55,184.15 316.55,184.6 313.65,184.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cb480\" points=\"304.3,182.6 307.5,182.6 307.5,183.2 304.3,183.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cb550\" points=\"204,181.2 315.8,181.2 315.8,182.6 204,182.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cb620\" points=\"84.4,176.25 85.8,176.25 85.8,181.2 84.4,181.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cb6f0\" points=\"124.9,179.2 128.1,179.2 128.1,179.8 124.9,179.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cb7c0\" points=\"102.8,177.8 128.1,177.8 128.1,179.2 102.8,179.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cb890\" points=\"102.8,176.4 104.2,176.4 104.2,177.8 102.8,177.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cb960\" points=\"124.9,177.2 128.1,177.2 128.1,177.8 124.9,177.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cba30\" points=\"129.5,179.2 132.7,179.2 132.7,179.8 129.5,179.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cbb00\" points=\"194.05,179.2 196.95,179.2 196.95,179.65 194.05,179.65\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cbbd0\" points=\"207.7,179.2 210.9,179.2 210.9,179.8 207.7,179.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cbca0\" points=\"129.5,177.8 159.4,177.8 159.4,179.2 129.5,179.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cbd70\" points=\"129.5,177.2 132.7,177.2 132.7,177.8 129.5,177.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cbe40\" points=\"83.65,173.95 86.55,173.95 86.55,176.25 83.65,176.25\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cbf10\" points=\"101.9,173.8 105.1,173.8 105.1,176.4 101.9,176.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cbfe0\" points=\"106.65,175.8 109.55,175.8 109.55,176.25 106.65,176.25\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cc0b0\" points=\"143.45,175.8 146.35,175.8 146.35,176.25 143.45,176.25\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cc180\" points=\"147.9,175.8 151.1,175.8 151.1,176.4 147.9,176.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cc250\" points=\"158,176.25 159.4,176.25 159.4,177.8 158,177.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cc320\" points=\"194.05,177.8 210.9,177.8 210.9,179.2 194.05,179.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cc3f0\" points=\"194.05,177.35 196.95,177.35 196.95,177.8 194.05,177.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cc4c0\" points=\"207.7,177.2 210.9,177.2 210.9,177.8 207.7,177.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cc590\" points=\"230.85,179.2 233.75,179.2 233.75,179.65 230.85,179.65\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cc660\" points=\"249.1,179.2 252.3,179.2 252.3,179.8 249.1,179.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cc730\" points=\"230.85,177.8 252.3,177.8 252.3,179.2 230.85,179.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cc800\" points=\"230.85,177.35 233.75,177.35 233.75,177.8 230.85,177.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cc8d0\" points=\"106.65,174.4 151.1,174.4 151.1,175.8 106.65,175.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cc9a0\" points=\"106.65,173.95 109.55,173.95 109.55,174.4 106.65,174.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cca70\" points=\"143.45,173.95 146.35,173.95 146.35,174.4 143.45,174.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34ccb40\" points=\"147.9,173.8 151.1,173.8 151.1,174.4 147.9,174.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34ccc10\" points=\"157.25,173.95 160.15,173.95 160.15,176.25 157.25,176.25\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34ccce0\" points=\"189.45,175.8 192.35,175.8 192.35,176.25 189.45,176.25\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34ccdb0\" points=\"198.5,175.8 201.7,175.8 201.7,176.4 198.5,176.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cce80\" points=\"189.45,174.4 201.7,174.4 201.7,175.8 189.45,175.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34ccf50\" points=\"189.45,173.95 192.35,173.95 192.35,174.4 189.45,174.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cd020\" points=\"115.7,170.4 118.9,170.4 118.9,173 115.7,173\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cd0f0\" points=\"138.7,170.4 141.9,170.4 141.9,173 138.7,173\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cd1c0\" points=\"158,172.4 159.4,172.4 159.4,173.95 158,173.95\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cd290\" points=\"198.5,173.8 201.7,173.8 201.7,174.4 198.5,174.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cd360\" points=\"203.1,175.8 206.3,175.8 206.3,176.4 203.1,176.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cd430\" points=\"226.25,175.8 229.15,175.8 229.15,176.25 226.25,176.25\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cd500\" points=\"203.1,174.4 229.15,174.4 229.15,175.8 203.1,175.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cd5d0\" points=\"203.1,173.8 206.3,173.8 206.3,174.4 203.1,174.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cd6a0\" points=\"226.25,173.95 229.15,173.95 229.15,174.4 226.25,174.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cd770\" points=\"231.6,172.4 233,172.4 233,177.35 231.6,177.35\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cd840\" points=\"249.1,177.2 252.3,177.2 252.3,177.8 249.1,177.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cd910\" points=\"254.6,175.8 256,175.8 256,181.2 254.6,181.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cd9e0\" points=\"304.3,180.6 307.5,180.6 307.5,181.2 304.3,181.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cdab0\" points=\"290.5,179.2 293.7,179.2 293.7,179.8 290.5,179.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cdb80\" points=\"263.8,177.8 293.7,177.8 293.7,179.2 263.8,179.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cdc50\" points=\"263.8,176.4 265.2,176.4 265.2,177.8 263.8,177.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cdd20\" points=\"290.5,177.2 293.7,177.2 293.7,177.8 290.5,177.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cddf0\" points=\"295.25,179.2 298.15,179.2 298.15,179.65 295.25,179.65\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cdec0\" points=\"308.9,179.2 312.1,179.2 312.1,179.8 308.9,179.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34cdf90\" points=\"295.25,177.8 312.1,177.8 312.1,179.2 295.25,179.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34ce060\" points=\"295.25,177.35 298.15,177.35 298.15,177.8 295.25,177.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34ce130\" points=\"258.45,175.8 261.35,175.8 261.35,176.25 258.45,176.25\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34ce200\" points=\"254.6,174.4 261.35,174.4 261.35,175.8 254.6,175.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34ce2d0\" points=\"258.45,173.95 261.35,173.95 261.35,174.4 258.45,174.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34ce3a0\" points=\"262.9,173.8 266.1,173.8 266.1,176.4 262.9,176.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34ce470\" points=\"272.1,175.8 275.3,175.8 275.3,176.4 272.1,176.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c1210\" points=\"276.85,175.8 279.75,175.8 279.75,176.25 276.85,176.25\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c12e0\" points=\"272.1,174.4 279.75,174.4 279.75,175.8 272.1,175.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c13b0\" points=\"272.1,173.8 275.3,173.8 275.3,174.4 272.1,174.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c1480\" points=\"276.85,173.95 279.75,173.95 279.75,174.4 276.85,174.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c1550\" points=\"281.3,175.8 284.5,175.8 284.5,176.4 281.3,176.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c1620\" points=\"296,175.8 297.4,175.8 297.4,177.35 296,177.35\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c16f0\" points=\"308.9,177.2 312.1,177.2 312.1,177.8 308.9,177.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c17c0\" points=\"281.3,174.4 297.4,174.4 297.4,175.8 281.3,175.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c1890\" points=\"277.6,172.4 279,172.4 279,173.95 277.6,173.95\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c1960\" points=\"281.3,173.8 284.5,173.8 284.5,174.4 281.3,174.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c1a30\" points=\"299.85,173.95 302.75,173.95 302.75,176.25 299.85,176.25\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c1b00\" points=\"304.45,175.8 307.35,175.8 307.35,176.25 304.45,176.25\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c1bd0\" points=\"314.4,175.8 315.8,175.8 315.8,181.2 314.4,181.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c1ca0\" points=\"304.45,174.4 315.8,174.4 315.8,175.8 304.45,175.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c1d70\" points=\"304.45,173.95 307.35,173.95 307.35,174.4 304.45,174.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c1e40\" points=\"300.6,172.4 302,172.4 302,173.95 300.6,173.95\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c1f10\" points=\"322.7,173.8 325.9,173.8 325.9,176.4 322.7,176.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c1fe0\" points=\"158,171 233,171 233,172.4 158,172.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34c20b0\" points=\"236.2,171 269.8,171 269.8,172.4 236.2,172.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d04f0\" points=\"277.6,171 302,171 302,172.4 277.6,172.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d05c0\" points=\"46.7,169 49.9,169 49.9,169.6 46.7,169.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d0690\" points=\"129.5,169.45 132.7,169.45 132.7,169.6 129.5,169.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d0760\" points=\"74.45,169 77.35,169 77.35,169.45 74.45,169.45\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d0830\" points=\"46.7,167.6 77.35,167.6 77.35,169 46.7,169\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d0900\" points=\"46.7,167 49.9,167 49.9,167.6 46.7,167.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d09d0\" points=\"74.45,167.15 77.35,167.15 77.35,167.6 74.45,167.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d0aa0\" points=\"97.45,169 100.35,169 100.35,169.45 97.45,169.45\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d0b70\" points=\"128.85,169 132.7,169 132.7,169.45 128.85,169.45\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d0c40\" points=\"97.45,167.6 132.7,167.6 132.7,169 97.45,169\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d0d10\" points=\"97.45,167.15 100.35,167.15 100.35,167.6 97.45,167.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d0de0\" points=\"128.85,167.15 132.7,167.15 132.7,167.6 128.85,167.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d0eb0\" points=\"129.5,167 132.7,167 132.7,167.15 129.5,167.15\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d0f80\" points=\"152.5,169 155.7,169 155.7,169.6 152.5,169.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d1050\" points=\"203.1,169 206.3,169 206.3,169.6 203.1,169.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d1120\" points=\"152.5,167.6 206.3,167.6 206.3,169 152.5,169\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d11f0\" points=\"152.5,167 155.7,167 155.7,167.6 152.5,167.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d12c0\" points=\"203.1,167 206.3,167 206.3,167.6 203.1,167.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d1390\" points=\"207.7,169 210.9,169 210.9,169.6 207.7,169.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d1460\" points=\"236.2,169 237.6,169 237.6,171 236.2,171\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d1530\" points=\"207.7,167.6 237.6,167.6 237.6,169 207.7,169\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d1600\" points=\"207.7,167 210.9,167 210.9,167.6 207.7,167.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d16d0\" points=\"249.1,167 252.3,167 252.3,169.6 249.1,169.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d17a0\" points=\"268.4,169.45 269.8,169.45 269.8,171 268.4,171\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d1870\" points=\"267.65,169 270.55,169 270.55,169.45 267.65,169.45\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d1940\" points=\"276.7,169 279.9,169 279.9,169.6 276.7,169.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d1a10\" points=\"267.65,167.6 279.9,167.6 279.9,169 267.65,169\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d1ae0\" points=\"267.65,167.15 270.55,167.15 270.55,167.6 267.65,167.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d1bb0\" points=\"276.7,167 279.9,167 279.9,167.6 276.7,167.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d1c80\" points=\"281.3,167 284.5,167 284.5,169.6 281.3,169.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d1d50\" points=\"55.2,160.8 340.4,160.8 340.4,165.6 55.2,165.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d1e20\" points=\"115.7,158.8 118.9,158.8 118.9,159.4 115.7,159.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d1ef0\" points=\"138.7,158.8 141.9,158.8 141.9,159.4 138.7,159.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d1fc0\" points=\"152.5,158.8 155.7,158.8 155.7,159.4 152.5,159.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d2090\" points=\"115.7,157.4 155.7,157.4 155.7,158.8 115.7,158.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d2160\" points=\"115.7,156.8 118.9,156.8 118.9,157.4 115.7,157.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d2230\" points=\"138.7,156.8 141.9,156.8 141.9,157.4 138.7,157.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d2300\" points=\"152.5,156.8 155.7,156.8 155.7,157.4 152.5,157.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d23d0\" points=\"230.7,156.8 233.9,156.8 233.9,159.4 230.7,159.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d24a0\" points=\"244.5,156.8 247.7,156.8 247.7,159.4 244.5,159.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d2570\" points=\"101.9,155.4 105.1,155.4 105.1,156 101.9,156\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d2640\" points=\"84.4,154 105.1,154 105.1,155.4 84.4,155.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d2710\" points=\"84.4,152.45 85.8,152.45 85.8,154 84.4,154\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d27e0\" points=\"101.9,153.4 105.1,153.4 105.1,154 101.9,154\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d28b0\" points=\"249.1,155.4 252.3,155.4 252.3,156 249.1,156\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d2980\" points=\"299.85,155.4 302.75,155.4 302.75,155.85 299.85,155.85\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d2a50\" points=\"249.1,154 302.75,154 302.75,155.4 249.1,155.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d2b20\" points=\"249.1,153.4 252.3,153.4 252.3,154 249.1,154\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d2bf0\" points=\"299.85,153.55 302.75,153.55 302.75,154 299.85,154\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d2cc0\" points=\"83.65,150.15 86.55,150.15 86.55,152.45 83.65,152.45\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d2d90\" points=\"240.05,150.15 242.95,150.15 242.95,152.45 240.05,152.45\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d2e60\" points=\"88.25,148.6 91.15,148.6 91.15,149.05 88.25,149.05\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d2f30\" points=\"97.3,148.6 100.5,148.6 100.5,149.2 97.3,149.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d3000\" points=\"88.25,147.2 100.5,147.2 100.5,148.6 88.25,148.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d30d0\" points=\"88.25,146.75 91.15,146.75 91.15,147.2 88.25,147.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d31a0\" points=\"97.3,146.6 100.5,146.6 100.5,147.2 97.3,147.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d3270\" points=\"102.05,148.6 104.95,148.6 104.95,149.05 102.05,149.05\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d3340\" points=\"106.5,148.6 109.7,148.6 109.7,149.2 106.5,149.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d3410\" points=\"102.05,147.2 109.7,147.2 109.7,148.6 102.05,148.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d34e0\" points=\"102.05,146.75 104.95,146.75 104.95,147.2 102.05,147.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d35b0\" points=\"106.5,146.6 109.7,146.6 109.7,147.2 106.5,147.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d3680\" points=\"240.8,145.2 242.2,145.2 242.2,150.15 240.8,150.15\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d3750\" points=\"262.9,150 266.1,150 266.1,152.6 262.9,152.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d3820\" points=\"258.3,146.6 261.5,146.6 261.5,149.2 258.3,149.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d38f0\" points=\"276.85,145.2 279.75,145.2 279.75,145.65 276.85,145.65\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d39c0\" points=\"240.8,143.8 279.75,143.8 279.75,145.2 240.8,145.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d3a90\" points=\"276.85,143.35 279.75,143.35 279.75,143.8 276.85,143.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d3b60\" points=\"281.3,143.2 284.5,143.2 284.5,145.8 281.3,145.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d3c30\" points=\"47.2,133.6 340.4,133.6 340.4,138.4 47.2,138.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d3d00\" points=\"221.65,131.6 224.55,131.6 224.55,132.05 221.65,132.05\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d3dd0\" points=\"258.3,131.6 261.5,131.6 261.5,132.2 258.3,132.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d3ea0\" points=\"221.65,130.2 261.5,130.2 261.5,131.6 221.65,131.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d3f70\" points=\"221.65,129.75 224.55,129.75 224.55,130.2 221.65,130.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d4040\" points=\"258.3,129.6 261.5,129.6 261.5,130.2 258.3,130.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d4110\" points=\"304.45,131.6 307.35,131.6 307.35,132.05 304.45,132.05\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d41e0\" points=\"322.7,131.6 325.9,131.6 325.9,132.2 322.7,132.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d42b0\" points=\"304.45,130.2 325.9,130.2 325.9,131.6 304.45,131.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d4380\" points=\"304.45,129.75 307.35,129.75 307.35,130.2 304.45,130.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d4450\" points=\"322.7,129.6 325.9,129.6 325.9,130.2 322.7,130.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d4520\" points=\"239.9,126.2 243.1,126.2 243.1,128.8 239.9,128.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d45f0\" points=\"259.2,124.8 260.6,124.8 260.6,129.6 259.2,129.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d46c0\" points=\"286.05,124.8 288.95,124.8 288.95,125.25 286.05,125.25\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d4790\" points=\"259.2,123.4 288.95,123.4 288.95,124.8 259.2,124.8\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d4860\" points=\"286.05,122.95 288.95,122.95 288.95,123.4 286.05,123.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d4930\" points=\"147.9,121.4 151.1,121.4 151.1,122 147.9,122\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d4a00\" points=\"180.25,121.4 183.15,121.4 183.15,121.85 180.25,121.85\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d4ad0\" points=\"147.9,120 183.15,120 183.15,121.4 147.9,121.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d4ba0\" points=\"147.9,119.4 151.1,119.4 151.1,120 147.9,120\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d4c70\" points=\"180.25,119.55 183.15,119.55 183.15,120 180.25,120\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d4d40\" points=\"207.7,121.4 210.9,121.4 210.9,122 207.7,122\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d4e10\" points=\"217.05,121.4 219.95,121.4 219.95,121.85 217.05,121.85\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d4ee0\" points=\"207.7,120 219.95,120 219.95,121.4 207.7,121.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d4fb0\" points=\"207.7,119.4 210.9,119.4 210.9,120 207.7,120\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d5080\" points=\"217.05,119.55 219.95,119.55 219.95,120 217.05,120\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d5150\" points=\"226.1,119.4 229.3,119.4 229.3,122 226.1,122\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d5220\" points=\"249.25,121.4 252.15,121.4 252.15,121.85 249.25,121.85\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d52f0\" points=\"276.7,121.4 279.9,121.4 279.9,122 276.7,122\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d53c0\" points=\"249.25,120 279.9,120 279.9,121.4 249.25,121.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d5490\" points=\"249.25,119.55 252.15,119.55 252.15,120 249.25,120\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d5560\" points=\"276.7,119.4 279.9,119.4 279.9,120 276.7,120\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d5630\" points=\"295.1,119.4 298.3,119.4 298.3,122 295.1,122\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d5700\" points=\"0.7,118 3.9,118 3.9,118.6 0.7,118.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d57d0\" points=\"69.85,118 72.75,118 72.75,118.45 69.85,118.45\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d58a0\" points=\"0.7,116.6 72.75,116.6 72.75,118 0.7,118\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d5970\" points=\"0.7,116 3.9,116 3.9,116.6 0.7,116.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d5a40\" points=\"69.85,116.15 72.75,116.15 72.75,116.6 69.85,116.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d5b10\" points=\"88.25,118 91.15,118 91.15,118.45 88.25,118.45\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d5be0\" points=\"208.6,118 210,118 210,119.4 208.6,119.4\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d5cb0\" points=\"88.25,116.6 210,116.6 210,118 88.25,118\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d5d80\" points=\"88.25,116.15 91.15,116.15 91.15,116.6 88.25,116.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d5e50\" points=\"262.9,116 266.1,116 266.1,118.6 262.9,118.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d5f20\" points=\"281.45,118 284.35,118 284.35,118.45 281.45,118.45\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d5ff0\" points=\"354.9,118 358.1,118 358.1,118.6 354.9,118.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d60c0\" points=\"281.45,116.6 358.1,116.6 358.1,118 281.45,118\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d6190\" points=\"281.45,116.15 284.35,116.15 284.35,116.6 281.45,116.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d6260\" points=\"354.9,116 358.1,116 358.1,116.6 354.9,116.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d6330\" points=\"161.7,114.6 164.9,114.6 164.9,115.2 161.7,115.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d6400\" points=\"171.05,114.6 173.95,114.6 173.95,115.05 171.05,115.05\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d64d0\" points=\"161.7,113.2 173.95,113.2 173.95,114.6 161.7,114.6\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d65a0\" points=\"161.7,112.6 164.9,112.6 164.9,113.2 161.7,113.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d6670\" points=\"171.05,112.75 173.95,112.75 173.95,113.2 171.05,113.2\"/>\n",
|
|
"<polygon class=\"l68d20\" id=\"0x34d6740\" points=\"55.2,106.4 340.4,106.4 340.4,111.2 55.2,111.2\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d6810\" points=\"81.05,380.05 82.55,380.05 82.55,381.55 81.05,381.55\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d68e0\" points=\"84.25,380.05 85.75,380.05 85.75,381.55 84.25,381.55\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d69b0\" points=\"87.45,380.05 88.95,380.05 88.95,381.55 87.45,381.55\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d6a80\" points=\"90.65,380.05 92.15,380.05 92.15,381.55 90.65,381.55\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d6b50\" points=\"93.85,380.05 95.35,380.05 95.35,381.55 93.85,381.55\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d6c20\" points=\"65.95,374.95 67.45,374.95 67.45,376.45 65.95,376.45\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d6cf0\" points=\"236.15,374.95 237.65,374.95 237.65,376.45 236.15,376.45\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d6dc0\" points=\"33.75,371.55 35.25,371.55 35.25,373.05 33.75,373.05\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d6e90\" points=\"75.15,368.15 76.65,368.15 76.65,369.65 75.15,369.65\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d6f60\" points=\"125.75,368.15 127.25,368.15 127.25,369.65 125.75,369.65\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d7030\" points=\"208.55,368.15 210.05,368.15 210.05,369.65 208.55,369.65\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d7100\" points=\"48.05,352.85 49.55,352.85 49.55,354.35 48.05,354.35\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d71d0\" points=\"51.25,352.85 52.75,352.85 52.75,354.35 51.25,354.35\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d72a0\" points=\"54.45,352.85 55.95,352.85 55.95,354.35 54.45,354.35\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d7370\" points=\"57.65,352.85 59.15,352.85 59.15,354.35 57.65,354.35\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d7440\" points=\"60.85,352.85 62.35,352.85 62.35,354.35 60.85,354.35\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d7510\" points=\"222.35,347.75 223.85,347.75 223.85,349.25 222.35,349.25\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d75e0\" points=\"208.55,344.35 210.05,344.35 210.05,345.85 208.55,345.85\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d76b0\" points=\"226.95,344.35 228.45,344.35 228.45,345.85 226.95,345.85\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d7780\" points=\"217.75,340.95 219.25,340.95 219.25,342.45 217.75,342.45\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d7850\" points=\"93.55,337.55 95.05,337.55 95.05,339.05 93.55,339.05\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d7920\" points=\"213.15,334.15 214.65,334.15 214.65,335.65 213.15,335.65\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d79f0\" points=\"282.15,334.15 283.65,334.15 283.65,335.65 282.15,335.65\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d7ac0\" points=\"203.95,330.75 205.45,330.75 205.45,332.25 203.95,332.25\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d7b90\" points=\"318.95,330.75 320.45,330.75 320.45,332.25 318.95,332.25\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d7c60\" points=\"81.05,325.65 82.55,325.65 82.55,327.15 81.05,327.15\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d7d30\" points=\"84.25,325.65 85.75,325.65 85.75,327.15 84.25,327.15\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d7e00\" points=\"87.45,325.65 88.95,325.65 88.95,327.15 87.45,327.15\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d7ed0\" points=\"90.65,325.65 92.15,325.65 92.15,327.15 90.65,327.15\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d7fa0\" points=\"93.85,325.65 95.35,325.65 95.35,327.15 93.85,327.15\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d8070\" points=\"102.75,317.15 104.25,317.15 104.25,318.65 102.75,318.65\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d8140\" points=\"213.15,317.15 214.65,317.15 214.65,318.65 213.15,318.65\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d8210\" points=\"217.75,313.75 219.25,313.75 219.25,315.25 217.75,315.25\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d82e0\" points=\"98.15,310.35 99.65,310.35 99.65,311.85 98.15,311.85\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d83b0\" points=\"208.55,310.35 210.05,310.35 210.05,311.85 208.55,311.85\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d8480\" points=\"75.15,303.55 76.65,303.55 76.65,305.05 75.15,305.05\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d8550\" points=\"309.75,303.55 311.25,303.55 311.25,305.05 309.75,305.05\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d8620\" points=\"48.05,298.45 49.55,298.45 49.55,299.95 48.05,299.95\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d86f0\" points=\"51.25,298.45 52.75,298.45 52.75,299.95 51.25,299.95\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d87c0\" points=\"54.45,298.45 55.95,298.45 55.95,299.95 54.45,299.95\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d8890\" points=\"57.65,298.45 59.15,298.45 59.15,299.95 57.65,299.95\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d8960\" points=\"60.85,298.45 62.35,298.45 62.35,299.95 60.85,299.95\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d8a30\" points=\"125.75,293.35 127.25,293.35 127.25,294.85 125.75,294.85\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d8b00\" points=\"93.55,283.15 95.05,283.15 95.05,284.65 93.55,284.65\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d8bd0\" points=\"387.95,283.15 389.45,283.15 389.45,284.65 387.95,284.65\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d8ca0\" points=\"107.35,279.75 108.85,279.75 108.85,281.25 107.35,281.25\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d8d70\" points=\"213.15,279.75 214.65,279.75 214.65,281.25 213.15,281.25\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d8e40\" points=\"236.15,276.35 237.65,276.35 237.65,277.85 236.15,277.85\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d8f10\" points=\"81.05,271.25 82.55,271.25 82.55,272.75 81.05,272.75\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d8fe0\" points=\"84.25,271.25 85.75,271.25 85.75,272.75 84.25,272.75\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d90b0\" points=\"87.45,271.25 88.95,271.25 88.95,272.75 87.45,272.75\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d9180\" points=\"90.65,271.25 92.15,271.25 92.15,272.75 90.65,272.75\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d9250\" points=\"93.85,271.25 95.35,271.25 95.35,272.75 93.85,272.75\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d9320\" points=\"213.15,266.15 214.65,266.15 214.65,267.65 213.15,267.65\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d93f0\" points=\"75.15,262.75 76.65,262.75 76.65,264.25 75.15,264.25\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d94c0\" points=\"231.55,262.75 233.05,262.75 233.05,264.25 231.55,264.25\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d9590\" points=\"240.75,262.75 242.25,262.75 242.25,264.25 240.75,264.25\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d9660\" points=\"217.75,259.35 219.25,259.35 219.25,260.85 217.75,260.85\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d9730\" points=\"75.15,255.95 76.65,255.95 76.65,257.45 75.15,257.45\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d9800\" points=\"93.55,255.95 95.05,255.95 95.05,257.45 93.55,257.45\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d98d0\" points=\"121.15,255.95 122.65,255.95 122.65,257.45 121.15,257.45\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d99a0\" points=\"102.75,252.55 104.25,252.55 104.25,254.05 102.75,254.05\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d9a70\" points=\"148.75,249.15 150.25,249.15 150.25,250.65 148.75,250.65\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d9b40\" points=\"305.15,249.15 306.65,249.15 306.65,250.65 305.15,250.65\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d9c10\" points=\"48.05,244.05 49.55,244.05 49.55,245.55 48.05,245.55\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d9ce0\" points=\"51.25,244.05 52.75,244.05 52.75,245.55 51.25,245.55\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d9db0\" points=\"54.45,244.05 55.95,244.05 55.95,245.55 54.45,245.55\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d9e80\" points=\"57.65,244.05 59.15,244.05 59.15,245.55 57.65,245.55\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34d9f50\" points=\"60.85,244.05 62.35,244.05 62.35,245.55 60.85,245.55\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34da020\" points=\"93.55,238.95 95.05,238.95 95.05,240.45 93.55,240.45\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34da0f0\" points=\"217.75,238.95 219.25,238.95 219.25,240.45 217.75,240.45\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34da1c0\" points=\"236.15,228.75 237.65,228.75 237.65,230.25 236.15,230.25\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34da290\" points=\"81.05,216.85 82.55,216.85 82.55,218.35 81.05,218.35\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34da360\" points=\"84.25,216.85 85.75,216.85 85.75,218.35 84.25,218.35\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34da430\" points=\"87.45,216.85 88.95,216.85 88.95,218.35 87.45,218.35\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34da500\" points=\"90.65,216.85 92.15,216.85 92.15,218.35 90.65,218.35\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34da5d0\" points=\"93.85,216.85 95.35,216.85 95.35,218.35 93.85,218.35\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34da6a0\" points=\"121.15,211.75 122.65,211.75 122.65,213.25 121.15,213.25\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34da770\" points=\"272.95,211.75 274.45,211.75 274.45,213.25 272.95,213.25\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34da840\" points=\"226.95,208.35 228.45,208.35 228.45,209.85 226.95,209.85\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34da910\" points=\"240.75,208.35 242.25,208.35 242.25,209.85 240.75,209.85\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34da9e0\" points=\"98.15,204.95 99.65,204.95 99.65,206.45 98.15,206.45\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34daab0\" points=\"144.15,204.95 145.65,204.95 145.65,206.45 144.15,206.45\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dab80\" points=\"213.15,204.95 214.65,204.95 214.65,206.45 213.15,206.45\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dac50\" points=\"199.35,201.55 200.85,201.55 200.85,203.05 199.35,203.05\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dad20\" points=\"245.35,201.55 246.85,201.55 246.85,203.05 245.35,203.05\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dadf0\" points=\"295.95,198.15 297.45,198.15 297.45,199.65 295.95,199.65\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34daec0\" points=\"291.35,194.75 292.85,194.75 292.85,196.25 291.35,196.25\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34daf90\" points=\"48.05,189.65 49.55,189.65 49.55,191.15 48.05,191.15\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34db060\" points=\"51.25,189.65 52.75,189.65 52.75,191.15 51.25,191.15\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34db130\" points=\"54.45,189.65 55.95,189.65 55.95,191.15 54.45,191.15\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34db200\" points=\"57.65,189.65 59.15,189.65 59.15,191.15 57.65,191.15\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34db2d0\" points=\"60.85,189.65 62.35,189.65 62.35,191.15 60.85,191.15\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34db3a0\" points=\"75.15,184.55 76.65,184.55 76.65,186.05 75.15,186.05\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34db470\" points=\"98.15,184.55 99.65,184.55 99.65,186.05 98.15,186.05\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34db540\" points=\"139.55,184.55 141.05,184.55 141.05,186.05 139.55,186.05\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34db610\" points=\"144.15,184.55 145.65,184.55 145.65,186.05 144.15,186.05\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34db6e0\" points=\"222.35,184.55 223.85,184.55 223.85,186.05 222.35,186.05\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34db7b0\" points=\"286.75,184.55 288.25,184.55 288.25,186.05 286.75,186.05\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34db880\" points=\"305.15,181.15 306.65,181.15 306.65,182.65 305.15,182.65\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34db950\" points=\"125.75,177.75 127.25,177.75 127.25,179.25 125.75,179.25\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dba20\" points=\"130.35,177.75 131.85,177.75 131.85,179.25 130.35,179.25\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dbaf0\" points=\"208.55,177.75 210.05,177.75 210.05,179.25 208.55,179.25\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dbbc0\" points=\"249.95,177.75 251.45,177.75 251.45,179.25 249.95,179.25\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dbc90\" points=\"291.35,177.75 292.85,177.75 292.85,179.25 291.35,179.25\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dbd60\" points=\"309.75,177.75 311.25,177.75 311.25,179.25 309.75,179.25\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dbe30\" points=\"102.75,174.35 104.25,174.35 104.25,175.85 102.75,175.85\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dbf00\" points=\"148.75,174.35 150.25,174.35 150.25,175.85 148.75,175.85\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dbfd0\" points=\"199.35,174.35 200.85,174.35 200.85,175.85 199.35,175.85\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dc0a0\" points=\"203.95,174.35 205.45,174.35 205.45,175.85 203.95,175.85\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dc170\" points=\"263.75,174.35 265.25,174.35 265.25,175.85 263.75,175.85\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dc240\" points=\"272.95,174.35 274.45,174.35 274.45,175.85 272.95,175.85\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dc310\" points=\"282.15,174.35 283.65,174.35 283.65,175.85 282.15,175.85\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dc3e0\" points=\"323.55,174.35 325.05,174.35 325.05,175.85 323.55,175.85\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dc4b0\" points=\"116.55,170.95 118.05,170.95 118.05,172.45 116.55,172.45\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dc580\" points=\"139.55,170.95 141.05,170.95 141.05,172.45 139.55,172.45\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dc650\" points=\"47.55,167.55 49.05,167.55 49.05,169.05 47.55,169.05\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dc720\" points=\"130.35,167.55 131.85,167.55 131.85,169.05 130.35,169.05\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dc7f0\" points=\"153.35,167.55 154.85,167.55 154.85,169.05 153.35,169.05\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dc8c0\" points=\"203.95,167.55 205.45,167.55 205.45,169.05 203.95,169.05\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dc990\" points=\"208.55,167.55 210.05,167.55 210.05,169.05 208.55,169.05\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dca60\" points=\"249.95,167.55 251.45,167.55 251.45,169.05 249.95,169.05\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dcb30\" points=\"277.55,167.55 279.05,167.55 279.05,169.05 277.55,169.05\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dcc00\" points=\"282.15,167.55 283.65,167.55 283.65,169.05 282.15,169.05\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dccd0\" points=\"81.05,162.45 82.55,162.45 82.55,163.95 81.05,163.95\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dcda0\" points=\"84.25,162.45 85.75,162.45 85.75,163.95 84.25,163.95\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dce70\" points=\"87.45,162.45 88.95,162.45 88.95,163.95 87.45,163.95\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dcf40\" points=\"90.65,162.45 92.15,162.45 92.15,163.95 90.65,163.95\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dd010\" points=\"93.85,162.45 95.35,162.45 95.35,163.95 93.85,163.95\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dd0e0\" points=\"116.55,157.35 118.05,157.35 118.05,158.85 116.55,158.85\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dd1b0\" points=\"139.55,157.35 141.05,157.35 141.05,158.85 139.55,158.85\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dd280\" points=\"153.35,157.35 154.85,157.35 154.85,158.85 153.35,158.85\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dd350\" points=\"231.55,157.35 233.05,157.35 233.05,158.85 231.55,158.85\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dd420\" points=\"245.35,157.35 246.85,157.35 246.85,158.85 245.35,158.85\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dd4f0\" points=\"102.75,153.95 104.25,153.95 104.25,155.45 102.75,155.45\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dd5c0\" points=\"249.95,153.95 251.45,153.95 251.45,155.45 249.95,155.45\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dd690\" points=\"263.75,150.55 265.25,150.55 265.25,152.05 263.75,152.05\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dd760\" points=\"98.15,147.15 99.65,147.15 99.65,148.65 98.15,148.65\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dd830\" points=\"107.35,147.15 108.85,147.15 108.85,148.65 107.35,148.65\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dd900\" points=\"259.15,147.15 260.65,147.15 260.65,148.65 259.15,148.65\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dd9d0\" points=\"282.15,143.75 283.65,143.75 283.65,145.25 282.15,145.25\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34ddaa0\" points=\"48.05,135.25 49.55,135.25 49.55,136.75 48.05,136.75\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34ddb70\" points=\"51.25,135.25 52.75,135.25 52.75,136.75 51.25,136.75\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34ddc40\" points=\"54.45,135.25 55.95,135.25 55.95,136.75 54.45,136.75\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34ddd10\" points=\"57.65,135.25 59.15,135.25 59.15,136.75 57.65,136.75\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34ddde0\" points=\"60.85,135.25 62.35,135.25 62.35,136.75 60.85,136.75\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34ddeb0\" points=\"259.15,130.15 260.65,130.15 260.65,131.65 259.15,131.65\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34ddf80\" points=\"323.55,130.15 325.05,130.15 325.05,131.65 323.55,131.65\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34de050\" points=\"240.75,126.75 242.25,126.75 242.25,128.25 240.75,128.25\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34de120\" points=\"148.75,119.95 150.25,119.95 150.25,121.45 148.75,121.45\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34de1f0\" points=\"208.55,119.95 210.05,119.95 210.05,121.45 208.55,121.45\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34de2c0\" points=\"226.95,119.95 228.45,119.95 228.45,121.45 226.95,121.45\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34de390\" points=\"277.55,119.95 279.05,119.95 279.05,121.45 277.55,121.45\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34de460\" points=\"295.95,119.95 297.45,119.95 297.45,121.45 295.95,121.45\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34de530\" points=\"1.55,116.55 3.05,116.55 3.05,118.05 1.55,118.05\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34de600\" points=\"263.75,116.55 265.25,116.55 265.25,118.05 263.75,118.05\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34de6d0\" points=\"355.75,116.55 357.25,116.55 357.25,118.05 355.75,118.05\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34de7a0\" points=\"162.55,113.15 164.05,113.15 164.05,114.65 162.55,114.65\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34de870\" points=\"81.05,108.05 82.55,108.05 82.55,109.55 81.05,109.55\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34de940\" points=\"84.25,108.05 85.75,108.05 85.75,109.55 84.25,109.55\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34dea10\" points=\"87.45,108.05 88.95,108.05 88.95,109.55 87.45,109.55\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34deae0\" points=\"90.65,108.05 92.15,108.05 92.15,109.55 90.65,109.55\"/>\n",
|
|
"<polygon class=\"l68d44\" id=\"0x34debb0\" points=\"93.85,108.05 95.35,108.05 95.35,109.55 93.85,109.55\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34dec80\" points=\"33.1,460 35.9,460 35.9,500 33.1,500\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34ded50\" points=\"226.3,466.5 229.1,466.5 229.1,500 226.3,500\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34dee20\" points=\"226.3,465.1 237.6,465.1 237.6,466.5 226.3,466.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34deef0\" points=\"226.3,460 229.1,460 229.1,465.1 226.3,465.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34defc0\" points=\"33.8,373.9 35.2,373.9 35.2,460 33.8,460\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34df090\" points=\"65.3,375.55 68.1,375.55 68.1,379.25 65.3,379.25\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34df160\" points=\"80.5,378.95 95.9,378.95 95.9,382.65 80.5,382.65\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34df230\" points=\"236.2,377.3 237.6,377.3 237.6,465.1 236.2,465.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34df300\" points=\"387.3,460 390.1,460 390.1,500 387.3,500\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34df3d0\" points=\"65.4,374.1 68,374.1 68,375.55 65.4,375.55\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34df4a0\" points=\"235.6,374.1 238.2,374.1 238.2,377.3 235.6,377.3\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34df570\" points=\"33.2,370.7 35.8,370.7 35.8,373.9 33.2,373.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34df640\" points=\"74.6,367.3 77.2,367.3 77.2,370.5 74.6,370.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34df710\" points=\"125.2,367.3 127.8,367.3 127.8,370.5 125.2,370.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34df7e0\" points=\"208,367.3 210.6,367.3 210.6,370.5 208,370.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34df8b0\" points=\"47.5,351.75 62.9,351.75 62.9,355.45 47.5,355.45\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34df980\" points=\"75.2,305.9 76.6,305.9 76.6,367.3 75.2,367.3\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34dfa50\" points=\"93,337.3 95.6,337.3 95.6,339.9 93,339.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34dfb20\" points=\"93,336.7 99.6,336.7 99.6,337.3 93,337.3\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34dfbf0\" points=\"93.6,335.9 99.6,335.9 99.6,336.7 93.6,336.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34dfcc0\" points=\"80.5,324.55 95.9,324.55 95.9,328.25 80.5,328.25\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34dfd90\" points=\"98.2,312.7 99.6,312.7 99.6,335.9 98.2,335.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34dfe60\" points=\"102.2,316.3 104.8,316.3 104.8,319.5 102.2,319.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34dff30\" points=\"97.6,309.5 100.2,309.5 100.2,312.7 97.6,312.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e0000\" points=\"74.6,302.7 77.2,302.7 77.2,305.9 74.6,305.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e00d0\" points=\"47.5,297.35 62.9,297.35 62.9,301.05 47.5,301.05\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e01a0\" points=\"75.2,265.1 76.6,265.1 76.6,302.7 75.2,302.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e0270\" points=\"93,282.9 95.6,282.9 95.6,285.5 93,285.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e0340\" points=\"98.2,282.9 99.6,282.9 99.6,309.5 98.2,309.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e0410\" points=\"93,282.3 99.6,282.3 99.6,282.9 93,282.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e04e0\" points=\"93.6,281.5 99.6,281.5 99.6,282.3 93.6,282.3\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e05b0\" points=\"80.5,270.15 95.9,270.15 95.9,273.85 80.5,273.85\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e0680\" points=\"74.6,261.9 77.2,261.9 77.2,265.1 74.6,265.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e0750\" points=\"98.2,262.5 99.6,262.5 99.6,281.5 98.2,281.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e0820\" points=\"93.6,261.1 99.6,261.1 99.6,262.5 93.6,262.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e08f0\" points=\"93.6,258.3 95,258.3 95,261.1 93.6,261.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e09c0\" points=\"74.6,255.1 77.2,255.1 77.2,258.3 74.6,258.3\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e0a90\" points=\"93,255.1 95.6,255.1 95.6,258.3 93,258.3\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e0b60\" points=\"47.5,242.95 62.9,242.95 62.9,246.65 47.5,246.65\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e0c30\" points=\"47.5,188.55 62.9,188.55 62.9,192.25 47.5,192.25\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e0d00\" points=\"75.2,186.9 76.6,186.9 76.6,255.1 75.2,255.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e0dd0\" points=\"93.6,241.3 95,241.3 95,255.1 93.6,255.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e0ea0\" points=\"102.8,254.9 104.2,254.9 104.2,316.3 102.8,316.3\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e0f70\" points=\"125.8,295.7 127.2,295.7 127.2,367.3 125.8,367.3\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e1040\" points=\"208.6,346.7 210,346.7 210,367.3 208.6,367.3\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e1110\" points=\"221.8,346.9 224.4,346.9 224.4,350.1 221.8,350.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e11e0\" points=\"208,343.5 210.6,343.5 210.6,346.7 208,346.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e12b0\" points=\"217.2,340.1 219.8,340.1 219.8,343.3 217.2,343.3\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e1380\" points=\"212.6,333.3 215.2,333.3 215.2,336.5 212.6,336.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e1450\" points=\"203.4,329.9 206,329.9 206,333.1 203.4,333.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e1520\" points=\"125.2,292.5 127.8,292.5 127.8,295.7 125.2,295.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e15f0\" points=\"106.8,278.9 109.4,278.9 109.4,282.1 106.8,282.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e16c0\" points=\"102.2,251.7 104.8,251.7 104.8,254.9 102.2,254.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e1790\" points=\"93,238.1 95.6,238.1 95.6,241.3 93,241.3\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e1860\" points=\"80.5,215.75 95.9,215.75 95.9,219.45 80.5,219.45\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e1930\" points=\"97.6,204.1 100.2,204.1 100.2,207.3 97.6,207.3\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e1a00\" points=\"98.2,186.9 99.6,186.9 99.6,204.1 98.2,204.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e1ad0\" points=\"74.6,183.7 77.2,183.7 77.2,186.9 74.6,186.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e1ba0\" points=\"97.6,183.7 100.2,183.7 100.2,186.9 97.6,186.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e1c70\" points=\"46.9,171.55 49.7,171.55 49.7,175.25 46.9,175.25\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e1d40\" points=\"47.6,169.9 49,169.9 49,171.55 47.6,171.55\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e1e10\" points=\"47,166.7 49.6,166.7 49.6,169.9 47,169.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e1ee0\" points=\"80.5,161.35 95.9,161.35 95.9,165.05 80.5,165.05\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e1fb0\" points=\"98.2,149.5 99.6,149.5 99.6,183.7 98.2,183.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e2080\" points=\"102.2,173.5 104.8,173.5 104.8,176.7 102.2,176.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e2150\" points=\"102.8,156.3 104.2,156.3 104.2,173.5 102.8,173.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e2220\" points=\"102.2,153.1 104.8,153.1 104.8,156.3 102.2,156.3\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e22f0\" points=\"107.4,149.5 108.8,149.5 108.8,278.9 107.4,278.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e23c0\" points=\"120.6,255.1 123.2,255.1 123.2,258.3 120.6,258.3\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e2490\" points=\"121.2,214.1 122.6,214.1 122.6,255.1 121.2,255.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e2560\" points=\"120.6,210.9 123.2,210.9 123.2,214.1 120.6,214.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e2630\" points=\"125.8,180.1 127.2,180.1 127.2,292.5 125.8,292.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e2700\" points=\"148.2,248.3 150.8,248.3 150.8,251.5 148.2,251.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e27d0\" points=\"143.6,204.1 146.2,204.1 146.2,207.3 143.6,207.3\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e28a0\" points=\"144.2,186.9 145.6,186.9 145.6,204.1 144.2,204.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e2970\" points=\"139,183.7 141.6,183.7 141.6,186.9 139,186.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e2a40\" points=\"143.6,183.7 146.2,183.7 146.2,186.9 143.6,186.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e2b10\" points=\"139.6,180.9 141,180.9 141,183.7 139.6,183.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e2be0\" points=\"148.8,180.9 150.2,180.9 150.2,248.3 148.8,248.3\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e2cb0\" points=\"198.8,200.7 201.4,200.7 201.4,203.9 198.8,203.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e2d80\" points=\"125.2,176.9 127.8,176.9 127.8,180.1 125.2,180.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e2e50\" points=\"129.8,176.9 132.4,176.9 132.4,180.1 129.8,180.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e2f20\" points=\"139.6,179.5 150.2,179.5 150.2,180.9 139.6,180.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e2ff0\" points=\"116,170.1 118.6,170.1 118.6,173.3 116,173.3\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e30c0\" points=\"116.6,159.7 118,159.7 118,170.1 116.6,170.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e3190\" points=\"130.4,169.9 131.8,169.9 131.8,176.9 130.4,176.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e3260\" points=\"148.8,176.7 150.2,176.7 150.2,179.5 148.8,179.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e3330\" points=\"199.4,176.7 200.8,176.7 200.8,200.7 199.4,200.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e3400\" points=\"204,176.7 205.4,176.7 205.4,329.9 204,329.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e34d0\" points=\"213.2,319.5 214.6,319.5 214.6,333.3 213.2,333.3\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e35a0\" points=\"212.6,316.3 215.2,316.3 215.2,319.5 212.6,319.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e3670\" points=\"208,309.5 210.6,309.5 210.6,312.7 208,312.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e3740\" points=\"208.6,180.1 210,180.1 210,309.5 208.6,309.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e3810\" points=\"213.2,282.1 214.6,282.1 214.6,316.3 213.2,316.3\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e38e0\" points=\"217.8,316.1 219.2,316.1 219.2,340.1 217.8,340.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e39b0\" points=\"217.2,312.9 219.8,312.9 219.8,316.1 217.2,316.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e3a80\" points=\"212.6,278.9 215.2,278.9 215.2,282.1 212.6,282.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e3b50\" points=\"213.2,268.5 214.6,268.5 214.6,278.9 213.2,278.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e3c20\" points=\"212.6,265.3 215.2,265.3 215.2,268.5 212.6,268.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e3cf0\" points=\"217.8,261.7 219.2,261.7 219.2,312.9 217.8,312.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e3dc0\" points=\"217.2,258.5 219.8,258.5 219.8,261.7 217.2,261.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e3e90\" points=\"217.8,241.3 219.2,241.3 219.2,258.5 217.8,258.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e3f60\" points=\"217.2,238.1 219.8,238.1 219.8,241.3 217.2,241.3\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e4030\" points=\"217.8,235.3 219.2,235.3 219.2,238.1 217.8,238.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e4100\" points=\"213.2,233.9 219.2,233.9 219.2,235.3 213.2,235.3\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e41d0\" points=\"213.2,207.3 214.6,207.3 214.6,233.9 213.2,233.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e42a0\" points=\"212.6,204.1 215.2,204.1 215.2,207.3 212.6,207.3\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e4370\" points=\"222.4,186.9 223.8,186.9 223.8,346.9 222.4,346.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e4440\" points=\"226.4,343.5 229,343.5 229,346.7 226.4,346.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e4510\" points=\"227,210.7 228.4,210.7 228.4,343.5 227,343.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e45e0\" points=\"281.6,333.3 284.2,333.3 284.2,336.5 281.6,336.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e46b0\" points=\"235.6,275.5 238.2,275.5 238.2,278.7 235.6,278.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e4780\" points=\"231,261.9 233.6,261.9 233.6,265.1 231,265.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e4850\" points=\"226.4,207.5 229,207.5 229,210.7 226.4,210.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e4920\" points=\"221.8,183.7 224.4,183.7 224.4,186.9 221.8,186.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e49f0\" points=\"208,176.9 210.6,176.9 210.6,180.1 208,180.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e4ac0\" points=\"148.2,173.5 150.8,173.5 150.8,176.7 148.2,176.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e4b90\" points=\"198.8,173.5 201.4,173.5 201.4,176.7 198.8,176.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e4c60\" points=\"203.4,173.5 206,173.5 206,176.7 203.4,176.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e4d30\" points=\"139,170.1 141.6,170.1 141.6,173.3 139,173.3\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e4e00\" points=\"129.8,166.7 132.4,166.7 132.4,169.9 129.8,169.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e4ed0\" points=\"139.6,159.7 141,159.7 141,170.1 139.6,170.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e4fa0\" points=\"116,156.5 118.6,156.5 118.6,159.7 116,159.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e5070\" points=\"139,156.5 141.6,156.5 141.6,159.7 139,159.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e5140\" points=\"97.6,146.3 100.2,146.3 100.2,149.5 97.6,149.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e5210\" points=\"106.8,146.3 109.4,146.3 109.4,149.5 106.8,149.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e52e0\" points=\"47.5,134.15 62.9,134.15 62.9,137.85 47.5,137.85\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e53b0\" points=\"148.8,122.3 150.2,122.3 150.2,173.5 148.8,173.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e5480\" points=\"152.8,166.7 155.4,166.7 155.4,169.9 152.8,169.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e5550\" points=\"153.4,159.7 154.8,159.7 154.8,166.7 153.4,166.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e5620\" points=\"199.4,160.5 200.8,160.5 200.8,173.5 199.4,173.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e56f0\" points=\"204,169.9 205.4,169.9 205.4,173.5 204,173.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e57c0\" points=\"203.4,166.7 206,166.7 206,169.9 203.4,169.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e5890\" points=\"208,166.7 210.6,166.7 210.6,169.9 208,169.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e5960\" points=\"208.6,160.5 210,160.5 210,166.7 208.6,166.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e5a30\" points=\"152.8,156.5 155.4,156.5 155.4,159.7 152.8,159.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e5b00\" points=\"199.4,159.1 210,159.1 210,160.5 199.4,160.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e5bd0\" points=\"208.6,122.3 210,122.3 210,159.1 208.6,159.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e5ca0\" points=\"227,122.3 228.4,122.3 228.4,207.5 227,207.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e5d70\" points=\"231.6,159.7 233,159.7 233,261.9 231.6,261.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e5e40\" points=\"236.2,231.1 237.6,231.1 237.6,275.5 236.2,275.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e5f10\" points=\"240.2,261.9 242.8,261.9 242.8,265.1 240.2,265.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e5fe0\" points=\"235.6,227.9 238.2,227.9 238.2,231.1 235.6,231.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e60b0\" points=\"240.8,210.7 242.2,210.7 242.2,261.9 240.8,261.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e6180\" points=\"282.2,214.9 283.6,214.9 283.6,333.3 282.2,333.3\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e6250\" points=\"318.4,329.9 321,329.9 321,333.1 318.4,333.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e6320\" points=\"319,311.25 320.4,311.25 320.4,329.9 319,329.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e63f0\" points=\"318.3,307.55 321.1,307.55 321.1,311.25 318.3,311.25\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e64c0\" points=\"309.2,302.7 311.8,302.7 311.8,305.9 309.2,305.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e6590\" points=\"304.6,248.3 307.2,248.3 307.2,251.5 304.6,251.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e6660\" points=\"272.4,210.9 275,210.9 275,214.1 272.4,214.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e6730\" points=\"282.2,213.5 288.2,213.5 288.2,214.9 282.2,214.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e6800\" points=\"240.2,207.5 242.8,207.5 242.8,210.7 240.2,210.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e68d0\" points=\"231,156.5 233.6,156.5 233.6,159.7 231,159.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e69a0\" points=\"240.8,129.1 242.2,129.1 242.2,207.5 240.8,207.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e6a70\" points=\"244.8,200.7 247.4,200.7 247.4,203.9 244.8,203.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e6b40\" points=\"245.4,159.7 246.8,159.7 246.8,200.7 245.4,200.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e6c10\" points=\"249.4,176.9 252,176.9 252,180.1 249.4,180.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e6ce0\" points=\"250,169.9 251.4,169.9 251.4,176.9 250,176.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e6db0\" points=\"273,176.7 274.4,176.7 274.4,210.9 273,210.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e6e80\" points=\"286.8,186.9 288.2,186.9 288.2,213.5 286.8,213.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e6f50\" points=\"295.4,197.3 298,197.3 298,200.5 295.4,200.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e7020\" points=\"290.8,193.9 293.4,193.9 293.4,197.1 290.8,197.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e70f0\" points=\"286.2,183.7 288.8,183.7 288.8,186.9 286.2,186.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e71c0\" points=\"291.4,180.1 292.8,180.1 292.8,193.9 291.4,193.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e7290\" points=\"290.8,176.9 293.4,176.9 293.4,180.1 290.8,180.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e7360\" points=\"263.2,173.5 265.8,173.5 265.8,176.7 263.2,176.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e7430\" points=\"272.4,173.5 275,173.5 275,176.7 272.4,176.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e7500\" points=\"281.6,174.1 284.2,174.1 284.2,176.7 281.6,176.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e75d0\" points=\"277.6,173.5 284.2,173.5 284.2,174.1 277.6,174.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e76a0\" points=\"249.4,166.7 252,166.7 252,169.9 249.4,169.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e7770\" points=\"244.8,156.5 247.4,156.5 247.4,159.7 244.8,159.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e7840\" points=\"250,156.3 251.4,156.3 251.4,166.7 250,166.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e7910\" points=\"249.4,153.1 252,153.1 252,156.3 249.4,156.3\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e79e0\" points=\"263.8,152.9 265.2,152.9 265.2,173.5 263.8,173.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e7ab0\" points=\"277.6,172.7 283.6,172.7 283.6,173.5 277.6,173.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e7b80\" points=\"277.6,169.9 279,169.9 279,172.7 277.6,172.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e7c50\" points=\"277,166.7 279.6,166.7 279.6,169.9 277,169.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e7d20\" points=\"281.6,166.7 284.2,166.7 284.2,169.9 281.6,169.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e7df0\" points=\"263.2,149.7 265.8,149.7 265.8,152.9 263.2,152.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e7ec0\" points=\"258.6,146.3 261.2,146.3 261.2,149.5 258.6,149.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e7f90\" points=\"259.2,132.5 260.6,132.5 260.6,146.3 259.2,146.3\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e8060\" points=\"258.6,129.3 261.2,129.3 261.2,132.5 258.6,132.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e8130\" points=\"240.2,125.9 242.8,125.9 242.8,129.1 240.2,129.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e8200\" points=\"148.2,119.1 150.8,119.1 150.8,122.3 148.2,122.3\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e82d0\" points=\"208,119.1 210.6,119.1 210.6,122.3 208,122.3\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e83a0\" points=\"226.4,119.1 229,119.1 229,122.3 226.4,122.3\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e8470\" points=\"263.8,118.9 265.2,118.9 265.2,149.7 263.8,149.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e8540\" points=\"282.2,146.1 283.6,146.1 283.6,166.7 282.2,166.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e8610\" points=\"281.6,142.9 284.2,142.9 284.2,146.1 281.6,146.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e86e0\" points=\"296,122.3 297.4,122.3 297.4,197.3 296,197.3\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e87b0\" points=\"305.2,183.5 306.6,183.5 306.6,248.3 305.2,248.3\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e8880\" points=\"304.6,180.3 307.2,180.3 307.2,183.5 304.6,183.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e8950\" points=\"309.8,180.1 311.2,180.1 311.2,302.7 309.8,302.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e8a20\" points=\"388,285.5 389.4,285.5 389.4,460 388,460\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e8af0\" points=\"387.4,282.3 390,282.3 390,285.5 387.4,285.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e8bc0\" points=\"309.2,176.9 311.8,176.9 311.8,180.1 309.2,180.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e8c90\" points=\"323,173.5 325.6,173.5 325.6,176.7 323,176.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e8d60\" points=\"323.6,132.5 325,132.5 325,173.5 323.6,173.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e8e30\" points=\"323,129.3 325.6,129.3 325.6,132.5 323,132.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e8f00\" points=\"277,119.1 279.6,119.1 279.6,122.3 277,122.3\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e8fd0\" points=\"295.4,119.1 298,119.1 298,122.3 295.4,122.3\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e90a0\" points=\"1,115.7 3.6,115.7 3.6,118.9 1,118.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e9170\" points=\"263.2,115.7 265.8,115.7 265.8,118.9 263.2,118.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e9240\" points=\"1.6,40 3,40 3,115.7 1.6,115.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e9310\" points=\"162,112.3 164.6,112.3 164.6,115.5 162,115.5\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e93e0\" points=\"80.5,106.95 95.9,106.95 95.9,110.65 80.5,110.65\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34e94b0\" points=\"162.6,40 164,40 164,112.3 162.6,112.3\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34ce540\" points=\"277.6,107.25 279,107.25 279,119.1 277.6,119.1\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34ce610\" points=\"355.2,115.7 357.8,115.7 357.8,118.9 355.2,118.9\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34ce6e0\" points=\"276.9,103.55 279.7,103.55 279.7,107.25 276.9,107.25\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34ce7b0\" points=\"355.8,40 357.2,40 357.2,115.7 355.8,115.7\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34ce880\" points=\"0.9,0 3.7,0 3.7,40 0.9,40\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34ce950\" points=\"161.9,0 164.7,0 164.7,40 161.9,40\"/>\n",
|
|
"<polygon class=\"l69d20\" id=\"0x34cea20\" points=\"355.1,0 357.9,0 357.9,40 355.1,40\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34ceaf0\" points=\"81.2,379.8 83.2,379.8 83.2,381.8 81.2,381.8\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34cebc0\" points=\"85.2,379.8 87.2,379.8 87.2,381.8 85.2,381.8\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34cec90\" points=\"89.2,379.8 91.2,379.8 91.2,381.8 89.2,381.8\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34ced60\" points=\"93.2,379.8 95.2,379.8 95.2,381.8 93.2,381.8\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34cee30\" points=\"65.7,376.4 67.7,376.4 67.7,378.4 65.7,378.4\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34cef00\" points=\"48.2,352.6 50.2,352.6 50.2,354.6 48.2,354.6\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34cefd0\" points=\"52.2,352.6 54.2,352.6 54.2,354.6 52.2,354.6\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34cf0a0\" points=\"56.2,352.6 58.2,352.6 58.2,354.6 56.2,354.6\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34cf170\" points=\"60.2,352.6 62.2,352.6 62.2,354.6 60.2,354.6\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34cf240\" points=\"81.2,325.4 83.2,325.4 83.2,327.4 81.2,327.4\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34cf310\" points=\"85.2,325.4 87.2,325.4 87.2,327.4 85.2,327.4\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34cf3e0\" points=\"89.2,325.4 91.2,325.4 91.2,327.4 89.2,327.4\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34cf4b0\" points=\"93.2,325.4 95.2,325.4 95.2,327.4 93.2,327.4\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34cf580\" points=\"318.7,308.4 320.7,308.4 320.7,310.4 318.7,310.4\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34cf650\" points=\"48.2,298.2 50.2,298.2 50.2,300.2 48.2,300.2\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34cf720\" points=\"52.2,298.2 54.2,298.2 54.2,300.2 52.2,300.2\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34cf7f0\" points=\"56.2,298.2 58.2,298.2 58.2,300.2 56.2,300.2\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34cf8c0\" points=\"60.2,298.2 62.2,298.2 62.2,300.2 60.2,300.2\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34cf990\" points=\"81.2,271 83.2,271 83.2,273 81.2,273\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34cfa60\" points=\"85.2,271 87.2,271 87.2,273 85.2,273\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34cfb30\" points=\"89.2,271 91.2,271 91.2,273 89.2,273\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34cfc00\" points=\"93.2,271 95.2,271 95.2,273 93.2,273\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34cfcd0\" points=\"48.2,243.8 50.2,243.8 50.2,245.8 48.2,245.8\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34cfda0\" points=\"52.2,243.8 54.2,243.8 54.2,245.8 52.2,245.8\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34cfe70\" points=\"56.2,243.8 58.2,243.8 58.2,245.8 56.2,245.8\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34cff40\" points=\"60.2,243.8 62.2,243.8 62.2,245.8 60.2,245.8\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34d0010\" points=\"81.2,216.6 83.2,216.6 83.2,218.6 81.2,218.6\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34d00e0\" points=\"85.2,216.6 87.2,216.6 87.2,218.6 85.2,218.6\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34d01b0\" points=\"89.2,216.6 91.2,216.6 91.2,218.6 89.2,218.6\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34d0280\" points=\"93.2,216.6 95.2,216.6 95.2,218.6 93.2,218.6\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34d0350\" points=\"48.2,189.4 50.2,189.4 50.2,191.4 48.2,191.4\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34d0420\" points=\"52.2,189.4 54.2,189.4 54.2,191.4 52.2,191.4\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34ed530\" points=\"56.2,189.4 58.2,189.4 58.2,191.4 56.2,191.4\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34ed600\" points=\"60.2,189.4 62.2,189.4 62.2,191.4 60.2,191.4\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34ed6d0\" points=\"47.3,172.4 49.3,172.4 49.3,174.4 47.3,174.4\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34ed7a0\" points=\"81.2,162.2 83.2,162.2 83.2,164.2 81.2,164.2\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34ed870\" points=\"85.2,162.2 87.2,162.2 87.2,164.2 85.2,164.2\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34ed940\" points=\"89.2,162.2 91.2,162.2 91.2,164.2 89.2,164.2\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34eda10\" points=\"93.2,162.2 95.2,162.2 95.2,164.2 93.2,164.2\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34edae0\" points=\"48.2,135 50.2,135 50.2,137 48.2,137\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34edbb0\" points=\"52.2,135 54.2,135 54.2,137 52.2,137\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34edc80\" points=\"56.2,135 58.2,135 58.2,137 56.2,137\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34edd50\" points=\"60.2,135 62.2,135 62.2,137 60.2,137\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34ede20\" points=\"81.2,107.8 83.2,107.8 83.2,109.8 81.2,109.8\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34edef0\" points=\"85.2,107.8 87.2,107.8 87.2,109.8 85.2,109.8\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34edfc0\" points=\"89.2,107.8 91.2,107.8 91.2,109.8 89.2,109.8\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34ee090\" points=\"93.2,107.8 95.2,107.8 95.2,109.8 93.2,109.8\"/>\n",
|
|
"<polygon class=\"l69d44\" id=\"0x34ee160\" points=\"277.3,104.4 279.3,104.4 279.3,106.4 277.3,106.4\"/>\n",
|
|
"<polygon class=\"l70d20\" id=\"0x34ee230\" points=\"0,378.9 40,378.9 40,380.4 0,380.4\"/>\n",
|
|
"<polygon class=\"l70d20\" id=\"0x34ee300\" points=\"80.3,379.15 96.1,379.15 96.1,382.45 80.3,382.45\"/>\n",
|
|
"<polygon class=\"l70d20\" id=\"0x34ee3d0\" points=\"65.05,378.9 68.35,378.9 68.35,379.05 65.05,379.05\"/>\n",
|
|
"<polygon class=\"l70d20\" id=\"0x34ee4a0\" points=\"0,375.9 68.35,375.9 68.35,378.9 0,378.9\"/>\n",
|
|
"<polygon class=\"l70d20\" id=\"0x34ee570\" points=\"0,374.4 40,374.4 40,375.9 0,375.9\"/>\n",
|
|
"<polygon class=\"l70d20\" id=\"0x34ee640\" points=\"65.05,375.75 68.35,375.75 68.35,375.9 65.05,375.9\"/>\n",
|
|
"<polygon class=\"l70d20\" id=\"0x34ee710\" points=\"47.3,351.95 63.1,351.95 63.1,355.25 47.3,355.25\"/>\n",
|
|
"<polygon class=\"l70d20\" id=\"0x34ee7e0\" points=\"80.3,324.75 96.1,324.75 96.1,328.05 80.3,328.05\"/>\n",
|
|
"<polygon class=\"l70d20\" id=\"0x34ee8b0\" points=\"318.05,310.9 321.35,310.9 321.35,311.05 318.05,311.05\"/>\n",
|
|
"<polygon class=\"l70d20\" id=\"0x34ee980\" points=\"360,310.9 400,310.9 400,312.4 360,312.4\"/>\n",
|
|
"<polygon class=\"l70d20\" id=\"0x34eea50\" points=\"318.05,307.9 400,307.9 400,310.9 318.05,310.9\"/>\n",
|
|
"<polygon class=\"l70d20\" id=\"0x34eeb20\" points=\"318.05,307.75 321.35,307.75 321.35,307.9 318.05,307.9\"/>\n",
|
|
"<polygon class=\"l70d20\" id=\"0x34eebf0\" points=\"360,306.4 400,306.4 400,307.9 360,307.9\"/>\n",
|
|
"<polygon class=\"l70d20\" id=\"0x34eecc0\" points=\"47.3,297.55 63.1,297.55 63.1,300.85 47.3,300.85\"/>\n",
|
|
"<polygon class=\"l70d20\" id=\"0x34eed90\" points=\"80.3,270.35 96.1,270.35 96.1,273.65 80.3,273.65\"/>\n",
|
|
"<polygon class=\"l70d20\" id=\"0x34eee60\" points=\"47.3,243.15 63.1,243.15 63.1,246.45 47.3,246.45\"/>\n",
|
|
"<polygon class=\"l70d20\" id=\"0x34eef30\" points=\"80.3,215.95 96.1,215.95 96.1,219.25 80.3,219.25\"/>\n",
|
|
"<polygon class=\"l70d20\" id=\"0x34ef000\" points=\"47.3,188.75 63.1,188.75 63.1,192.05 47.3,192.05\"/>\n",
|
|
"<polygon class=\"l70d20\" id=\"0x34ef0d0\" points=\"0,174.9 40,174.9 40,176.4 0,176.4\"/>\n",
|
|
"<polygon class=\"l70d20\" id=\"0x34ef1a0\" points=\"46.65,174.9 49.95,174.9 49.95,175.05 46.65,175.05\"/>\n",
|
|
"<polygon class=\"l70d20\" id=\"0x34ef270\" points=\"0,171.9 49.95,171.9 49.95,174.9 0,174.9\"/>\n",
|
|
"<polygon class=\"l70d20\" id=\"0x34ef340\" points=\"0,170.4 40,170.4 40,171.9 0,171.9\"/>\n",
|
|
"<polygon class=\"l70d20\" id=\"0x34ef410\" points=\"46.65,171.75 49.95,171.75 49.95,171.9 46.65,171.9\"/>\n",
|
|
"<polygon class=\"l70d20\" id=\"0x34ef4e0\" points=\"80.3,161.55 96.1,161.55 96.1,164.85 80.3,164.85\"/>\n",
|
|
"<polygon class=\"l70d20\" id=\"0x34ef5b0\" points=\"47.3,134.35 63.1,134.35 63.1,137.65 47.3,137.65\"/>\n",
|
|
"<polygon class=\"l70d20\" id=\"0x34ef680\" points=\"80.3,107.15 96.1,107.15 96.1,110.45 80.3,110.45\"/>\n",
|
|
"<polygon class=\"l70d20\" id=\"0x34ef750\" points=\"276.65,106.9 279.95,106.9 279.95,107.05 276.65,107.05\"/>\n",
|
|
"<polygon class=\"l70d20\" id=\"0x34ef820\" points=\"360,106.9 400,106.9 400,108.4 360,108.4\"/>\n",
|
|
"<polygon class=\"l70d20\" id=\"0x34ef8f0\" points=\"276.65,103.9 400,103.9 400,106.9 276.65,106.9\"/>\n",
|
|
"<polygon class=\"l70d20\" id=\"0x34ef9c0\" points=\"276.65,103.75 279.95,103.75 279.95,103.9 276.65,103.9\"/>\n",
|
|
"<polygon class=\"l70d20\" id=\"0x34efa90\" points=\"360,102.4 400,102.4 400,103.9 360,103.9\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34efb60\" points=\"81.2,379.8 83.2,379.8 83.2,381.8 81.2,381.8\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34efc30\" points=\"85.2,379.8 87.2,379.8 87.2,381.8 85.2,381.8\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34efd00\" points=\"89.2,379.8 91.2,379.8 91.2,381.8 89.2,381.8\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34efdd0\" points=\"93.2,379.8 95.2,379.8 95.2,381.8 93.2,381.8\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34efea0\" points=\"48.2,352.6 50.2,352.6 50.2,354.6 48.2,354.6\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34eff70\" points=\"52.2,352.6 54.2,352.6 54.2,354.6 52.2,354.6\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f0040\" points=\"56.2,352.6 58.2,352.6 58.2,354.6 56.2,354.6\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f0110\" points=\"60.2,352.6 62.2,352.6 62.2,354.6 60.2,354.6\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f01e0\" points=\"81.2,325.4 83.2,325.4 83.2,327.4 81.2,327.4\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f02b0\" points=\"85.2,325.4 87.2,325.4 87.2,327.4 85.2,327.4\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f0380\" points=\"89.2,325.4 91.2,325.4 91.2,327.4 89.2,327.4\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f0450\" points=\"93.2,325.4 95.2,325.4 95.2,327.4 93.2,327.4\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f0520\" points=\"48.2,298.2 50.2,298.2 50.2,300.2 48.2,300.2\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f05f0\" points=\"52.2,298.2 54.2,298.2 54.2,300.2 52.2,300.2\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f06c0\" points=\"56.2,298.2 58.2,298.2 58.2,300.2 56.2,300.2\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f0790\" points=\"60.2,298.2 62.2,298.2 62.2,300.2 60.2,300.2\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f0860\" points=\"81.2,271 83.2,271 83.2,273 81.2,273\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f0930\" points=\"85.2,271 87.2,271 87.2,273 85.2,273\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f0a00\" points=\"89.2,271 91.2,271 91.2,273 89.2,273\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f0ad0\" points=\"93.2,271 95.2,271 95.2,273 93.2,273\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f0ba0\" points=\"48.2,243.8 50.2,243.8 50.2,245.8 48.2,245.8\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f0c70\" points=\"52.2,243.8 54.2,243.8 54.2,245.8 52.2,245.8\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f0d40\" points=\"56.2,243.8 58.2,243.8 58.2,245.8 56.2,245.8\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f0e10\" points=\"60.2,243.8 62.2,243.8 62.2,245.8 60.2,245.8\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f0ee0\" points=\"81.2,216.6 83.2,216.6 83.2,218.6 81.2,218.6\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f0fb0\" points=\"85.2,216.6 87.2,216.6 87.2,218.6 85.2,218.6\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f1080\" points=\"89.2,216.6 91.2,216.6 91.2,218.6 89.2,218.6\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f1150\" points=\"93.2,216.6 95.2,216.6 95.2,218.6 93.2,218.6\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f1220\" points=\"48.2,189.4 50.2,189.4 50.2,191.4 48.2,191.4\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f12f0\" points=\"52.2,189.4 54.2,189.4 54.2,191.4 52.2,191.4\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f13c0\" points=\"56.2,189.4 58.2,189.4 58.2,191.4 56.2,191.4\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f1490\" points=\"60.2,189.4 62.2,189.4 62.2,191.4 60.2,191.4\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f1560\" points=\"81.2,162.2 83.2,162.2 83.2,164.2 81.2,164.2\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f1630\" points=\"85.2,162.2 87.2,162.2 87.2,164.2 85.2,164.2\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f1700\" points=\"89.2,162.2 91.2,162.2 91.2,164.2 89.2,164.2\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f17d0\" points=\"93.2,162.2 95.2,162.2 95.2,164.2 93.2,164.2\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f18a0\" points=\"48.2,135 50.2,135 50.2,137 48.2,137\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f1970\" points=\"52.2,135 54.2,135 54.2,137 52.2,137\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f1a40\" points=\"56.2,135 58.2,135 58.2,137 56.2,137\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f1b10\" points=\"60.2,135 62.2,135 62.2,137 60.2,137\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f1be0\" points=\"81.2,107.8 83.2,107.8 83.2,109.8 81.2,109.8\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f1cb0\" points=\"85.2,107.8 87.2,107.8 87.2,109.8 85.2,109.8\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f1d80\" points=\"89.2,107.8 91.2,107.8 91.2,109.8 89.2,109.8\"/>\n",
|
|
"<polygon class=\"l70d44\" id=\"0x34f1e50\" points=\"93.2,107.8 95.2,107.8 95.2,109.8 93.2,109.8\"/>\n",
|
|
"<polygon class=\"l71d20\" id=\"0x34f1f20\" points=\"47.2,100.8 63.2,100.8 63.2,383.2 47.2,383.2\"/>\n",
|
|
"<polygon class=\"l71d20\" id=\"0x34f1ff0\" points=\"80.2,106.4 96.2,106.4 96.2,383.2 80.2,383.2\"/>\n",
|
|
"<polygon class=\"l71d16\" id=\"0x34f2bc0\" points=\"80.2,106.4 96.2,106.4 96.2,383.2 80.2,383.2\"/>\n",
|
|
"<polygon class=\"l71d16\" id=\"0x34f3c30\" points=\"47.2,100.8 63.2,100.8 63.2,383.2 47.2,383.2\"/>\n",
|
|
"<polygon class=\"l69d16\" id=\"0x34f3d90\" points=\"387.3,460 390.1,460 390.1,500 387.3,500\"/>\n",
|
|
"<polygon class=\"l69d16\" id=\"0x34f3ef0\" points=\"0.9,0 3.7,0 3.7,40 0.9,40\"/>\n",
|
|
"<polygon class=\"l69d16\" id=\"0x34f4050\" points=\"226.3,460 229.1,460 229.1,500 226.3,500\"/>\n",
|
|
"<polygon class=\"l69d16\" id=\"0x34f41b0\" points=\"355.1,0 357.9,0 357.9,40 355.1,40\"/>\n",
|
|
"<polygon class=\"l70d16\" id=\"0x34f4310\" points=\"0,170.4 40,170.4 40,176.4 0,176.4\"/>\n",
|
|
"<polygon class=\"l70d16\" id=\"0x34f4470\" points=\"360,306.4 400,306.4 400,312.4 360,312.4\"/>\n",
|
|
"<polygon class=\"l69d16\" id=\"0x34f45d0\" points=\"161.9,0 164.7,0 164.7,40 161.9,40\"/>\n",
|
|
"<polygon class=\"l70d16\" id=\"0x34f4730\" points=\"0,374.4 40,374.4 40,380.4 0,380.4\"/>\n",
|
|
"<polygon class=\"l69d16\" id=\"0x34f4890\" points=\"33.1,460 35.9,460 35.9,500 33.1,500\"/>\n",
|
|
"<polygon class=\"l70d16\" id=\"0x34f49f0\" points=\"360,102.4 400,102.4 400,108.4 360,108.4\"/>\n",
|
|
"<use transform=\"translate(151.8 108.8)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n",
|
|
"<use transform=\"translate(96.6 108.8)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n",
|
|
"<use transform=\"translate(105.8 163.2) scale(1 -1)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n",
|
|
"<use transform=\"translate(69 163.2) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__fill_1\"/>\n",
|
|
"<use transform=\"translate(55.2 108.8)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n",
|
|
"<use transform=\"translate(55.2 163.2) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n",
|
|
"<use transform=\"translate(73.6 163.2) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__xor2_1\"/>\n",
|
|
"<use transform=\"translate(96.6 108.8) rotate(180) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__clkbuf_4\"/>\n",
|
|
"<use transform=\"translate(188.6 108.8)\" xlink:href=\"#sky130_fd_sc_hd__decap_6\"/>\n",
|
|
"<use transform=\"translate(161 163.2) scale(1 -1)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n",
|
|
"<use transform=\"translate(216.2 163.2) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n",
|
|
"<use transform=\"translate(184 108.8)\" xlink:href=\"#sky130_fd_sc_hd__tapvpwrvgnd_1\"/>\n",
|
|
"<use transform=\"translate(216.2 108.8)\" xlink:href=\"#sky130_fd_sc_hd__nand2_1\"/>\n",
|
|
"<use transform=\"translate(276 163.2) rotate(180)\" xlink:href=\"#sky130_fd_sc_hd__xnor2_1\"/>\n",
|
|
"<use transform=\"translate(230 163.2) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__clkbuf_1\"/>\n",
|
|
"<use transform=\"translate(257.6 108.8) rotate(180) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__clkbuf_4\"/>\n",
|
|
"<use transform=\"translate(184 108.8) rotate(180) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__buf_2\"/>\n",
|
|
"<use transform=\"translate(257.6 108.8)\" xlink:href=\"#sky130_fd_sc_hd__clkbuf_4\"/>\n",
|
|
"<use transform=\"translate(285.2 108.8)\" xlink:href=\"#sky130_fd_sc_hd__and2_1\"/>\n",
|
|
"<use transform=\"translate(303.6 163.2) rotate(180)\" xlink:href=\"#sky130_fd_sc_hd__and2b_1\"/>\n",
|
|
"<use transform=\"translate(312.8 163.2) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__tapvpwrvgnd_1\"/>\n",
|
|
"<use transform=\"translate(312.8 108.8)\" xlink:href=\"#sky130_fd_sc_hd__tapvpwrvgnd_1\"/>\n",
|
|
"<use transform=\"translate(317.4 163.2) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__fill_2\"/>\n",
|
|
"<use transform=\"translate(303.6 163.2) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__fill_2\"/>\n",
|
|
"<use transform=\"translate(317.4 108.8)\" xlink:href=\"#sky130_fd_sc_hd__fill_2\"/>\n",
|
|
"<use transform=\"translate(308.2 108.8)\" xlink:href=\"#sky130_fd_sc_hd__fill_1\"/>\n",
|
|
"<use transform=\"translate(340.4 163.2) rotate(180)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n",
|
|
"<use transform=\"translate(340.4 108.8) rotate(180) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n",
|
|
"<use transform=\"translate(55.2 163.2)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n",
|
|
"<use transform=\"translate(142.6 163.2) rotate(180) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__and3_1\"/>\n",
|
|
"<use transform=\"translate(142.6 163.2)\" xlink:href=\"#sky130_fd_sc_hd__a21oi_1\"/>\n",
|
|
"<use transform=\"translate(119.6 163.2) rotate(180) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__and4_1\"/>\n",
|
|
"<use transform=\"translate(87.4 163.2) rotate(180) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__buf_2\"/>\n",
|
|
"<use transform=\"translate(161 163.2)\" xlink:href=\"#sky130_fd_sc_hd__decap_4\"/>\n",
|
|
"<use transform=\"translate(179.4 163.2)\" xlink:href=\"#sky130_fd_sc_hd__fill_1\"/>\n",
|
|
"<use transform=\"translate(202.4 163.2)\" xlink:href=\"#sky130_fd_sc_hd__fill_1\"/>\n",
|
|
"<use transform=\"translate(239.2 163.2)\" xlink:href=\"#sky130_fd_sc_hd__fill_2\"/>\n",
|
|
"<use transform=\"translate(184 163.2)\" xlink:href=\"#sky130_fd_sc_hd__tapvpwrvgnd_1\"/>\n",
|
|
"<use transform=\"translate(280.6 163.2) rotate(180) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__and4_1\"/>\n",
|
|
"<use transform=\"translate(239.2 163.2) rotate(180) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__xor2_1\"/>\n",
|
|
"<use transform=\"translate(188.6 163.2)\" xlink:href=\"#sky130_fd_sc_hd__inv_2\"/>\n",
|
|
"<use transform=\"translate(340.4 163.2) rotate(180) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n",
|
|
"<use transform=\"translate(280.6 163.2)\" xlink:href=\"#sky130_fd_sc_hd__a31o_1\"/>\n",
|
|
"<use transform=\"translate(312.8 163.2)\" xlink:href=\"#sky130_fd_sc_hd__clkbuf_1\"/>\n",
|
|
"<use transform=\"translate(115 217.6) scale(1 -1)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n",
|
|
"<use transform=\"translate(69 217.6) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__decap_6\"/>\n",
|
|
"<use transform=\"translate(96.6 217.6) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__fill_1\"/>\n",
|
|
"<use transform=\"translate(55.2 217.6) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n",
|
|
"<use transform=\"translate(115 217.6) rotate(180)\" xlink:href=\"#sky130_fd_sc_hd__nor2_1\"/>\n",
|
|
"<use transform=\"translate(170.2 217.6) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__decap_4\"/>\n",
|
|
"<use transform=\"translate(188.6 217.6) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__or2_1\"/>\n",
|
|
"<use transform=\"translate(211.6 217.6) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__dfrtp_1\"/>\n",
|
|
"<use transform=\"translate(303.6 217.6) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__fill_2\"/>\n",
|
|
"<use transform=\"translate(317.4 217.6) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__fill_2\"/>\n",
|
|
"<use transform=\"translate(340.4 217.6) rotate(180)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n",
|
|
"<use transform=\"translate(312.8 217.6) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__tapvpwrvgnd_1\"/>\n",
|
|
"<use transform=\"translate(55.2 217.6)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n",
|
|
"<use transform=\"translate(161 217.6) rotate(180) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__clkbuf_16\"/>\n",
|
|
"<use transform=\"translate(161 217.6)\" xlink:href=\"#sky130_fd_sc_hd__decap_4\"/>\n",
|
|
"<use transform=\"translate(179.4 217.6)\" xlink:href=\"#sky130_fd_sc_hd__fill_1\"/>\n",
|
|
"<use transform=\"translate(188.6 217.6)\" xlink:href=\"#sky130_fd_sc_hd__decap_8\"/>\n",
|
|
"<use transform=\"translate(225.4 217.6)\" xlink:href=\"#sky130_fd_sc_hd__fill_2\"/>\n",
|
|
"<use transform=\"translate(184 217.6)\" xlink:href=\"#sky130_fd_sc_hd__tapvpwrvgnd_1\"/>\n",
|
|
"<use transform=\"translate(234.6 217.6)\" xlink:href=\"#sky130_fd_sc_hd__clkbuf_16\"/>\n",
|
|
"<use transform=\"translate(340.4 217.6) rotate(180) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n",
|
|
"<use transform=\"translate(69 272) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__fill_1\"/>\n",
|
|
"<use transform=\"translate(55.2 272) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n",
|
|
"<use transform=\"translate(73.6 272) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__xor2_1\"/>\n",
|
|
"<use transform=\"translate(105.8 272) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__dfrtp_1\"/>\n",
|
|
"<use transform=\"translate(197.8 272) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__decap_4\"/>\n",
|
|
"<use transform=\"translate(216.2 272) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__fill_1\"/>\n",
|
|
"<use transform=\"translate(220.8 272) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__dfrtp_1\"/>\n",
|
|
"<use transform=\"translate(317.4 272) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__fill_2\"/>\n",
|
|
"<use transform=\"translate(340.4 272) rotate(180)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n",
|
|
"<use transform=\"translate(312.8 272) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__tapvpwrvgnd_1\"/>\n",
|
|
"<use transform=\"translate(69 272)\" xlink:href=\"#sky130_fd_sc_hd__decap_4\"/>\n",
|
|
"<use transform=\"translate(87.4 272)\" xlink:href=\"#sky130_fd_sc_hd__fill_1\"/>\n",
|
|
"<use transform=\"translate(69 326.4) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__decap_8\"/>\n",
|
|
"<use transform=\"translate(55.2 272)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n",
|
|
"<use transform=\"translate(55.2 326.4) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n",
|
|
"<use transform=\"translate(92 272)\" xlink:href=\"#sky130_fd_sc_hd__dfrtp_1\"/>\n",
|
|
"<use transform=\"translate(105.8 326.4) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__dfrtp_1\"/>\n",
|
|
"<use transform=\"translate(188.6 272)\" xlink:href=\"#sky130_fd_sc_hd__decap_4\"/>\n",
|
|
"<use transform=\"translate(207 272)\" xlink:href=\"#sky130_fd_sc_hd__fill_1\"/>\n",
|
|
"<use transform=\"translate(197.8 326.4) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__decap_4\"/>\n",
|
|
"<use transform=\"translate(184 272)\" xlink:href=\"#sky130_fd_sc_hd__tapvpwrvgnd_1\"/>\n",
|
|
"<use transform=\"translate(216.2 326.4) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__dfrtp_2\"/>\n",
|
|
"<use transform=\"translate(303.6 272) rotate(180) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__clkbuf_16\"/>\n",
|
|
"<use transform=\"translate(303.6 272)\" xlink:href=\"#sky130_fd_sc_hd__decap_4\"/>\n",
|
|
"<use transform=\"translate(322 272)\" xlink:href=\"#sky130_fd_sc_hd__fill_1\"/>\n",
|
|
"<use transform=\"translate(317.4 326.4) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__fill_2\"/>\n",
|
|
"<use transform=\"translate(340.4 272) rotate(180) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n",
|
|
"<use transform=\"translate(340.4 326.4) rotate(180)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n",
|
|
"<use transform=\"translate(312.8 326.4) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__tapvpwrvgnd_1\"/>\n",
|
|
"<use transform=\"translate(69 326.4)\" xlink:href=\"#sky130_fd_sc_hd__decap_4\"/>\n",
|
|
"<use transform=\"translate(87.4 326.4)\" xlink:href=\"#sky130_fd_sc_hd__fill_1\"/>\n",
|
|
"<use transform=\"translate(55.2 326.4)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n",
|
|
"<use transform=\"translate(92 326.4)\" xlink:href=\"#sky130_fd_sc_hd__dfrtp_1\"/>\n",
|
|
"<use transform=\"translate(188.6 326.4)\" xlink:href=\"#sky130_fd_sc_hd__decap_4\"/>\n",
|
|
"<use transform=\"translate(184 326.4)\" xlink:href=\"#sky130_fd_sc_hd__tapvpwrvgnd_1\"/>\n",
|
|
"<use transform=\"translate(299 326.4) rotate(180) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__dfrtp_1\"/>\n",
|
|
"<use transform=\"translate(299 326.4)\" xlink:href=\"#sky130_fd_sc_hd__fill_2\"/>\n",
|
|
"<use transform=\"translate(340.4 326.4) rotate(180) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n",
|
|
"<use transform=\"translate(308.2 326.4)\" xlink:href=\"#sky130_fd_sc_hd__buf_2\"/>\n",
|
|
"<use transform=\"translate(124.2 380.8) scale(1 -1)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n",
|
|
"<use transform=\"translate(69 380.8) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__fill_2\"/>\n",
|
|
"<use transform=\"translate(55.2 380.8) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n",
|
|
"<use transform=\"translate(124.2 380.8) rotate(180)\" xlink:href=\"#sky130_fd_sc_hd__buf_2\"/>\n",
|
|
"<use transform=\"translate(105.8 380.8) rotate(180)\" xlink:href=\"#sky130_fd_sc_hd__clkbuf_4\"/>\n",
|
|
"<use transform=\"translate(179.4 380.8) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__fill_1\"/>\n",
|
|
"<use transform=\"translate(188.6 380.8) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__decap_8\"/>\n",
|
|
"<use transform=\"translate(225.4 380.8) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__fill_1\"/>\n",
|
|
"<use transform=\"translate(248.4 380.8) scale(1 -1)\" xlink:href=\"#sky130_ef_sc_hd__decap_12\"/>\n",
|
|
"<use transform=\"translate(184 380.8) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__tapvpwrvgnd_1\"/>\n",
|
|
"<use transform=\"translate(248.4 380.8) rotate(180)\" xlink:href=\"#sky130_fd_sc_hd__buf_2\"/>\n",
|
|
"<use transform=\"translate(303.6 380.8) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__fill_2\"/>\n",
|
|
"<use transform=\"translate(317.4 380.8) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__fill_2\"/>\n",
|
|
"<use transform=\"translate(340.4 380.8) rotate(180)\" xlink:href=\"#sky130_fd_sc_hd__decap_3\"/>\n",
|
|
"<use transform=\"translate(312.8 380.8) scale(1 -1)\" xlink:href=\"#sky130_fd_sc_hd__tapvpwrvgnd_1\"/>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f20c0\" text-anchor=\"start\" transform=\"translate(197.8 380.8) scale(1 -1)\">VGND</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f2150\" text-anchor=\"start\" transform=\"translate(193.8 353.6) scale(1 -1)\">VPWR</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f21e0\" text-anchor=\"start\" transform=\"translate(202.4 178.5) scale(1 -1)\">_00_</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f2270\" text-anchor=\"start\" transform=\"translate(301.3 185.3) scale(1 -1)\">_01_</text>\n",
|
|
"<text class=\"l69t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f2300\" text-anchor=\"start\" transform=\"translate(246.1 180.2) scale(1 -1)\">_02_</text>\n",
|
|
"<text class=\"l69t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f23e0\" text-anchor=\"start\" transform=\"translate(232.3 210.8) scale(1 -1)\">_03_</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f2470\" text-anchor=\"start\" transform=\"translate(216.2 185.3) scale(1 -1)\">_04_</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f2500\" text-anchor=\"start\" transform=\"translate(115 212.5) scale(1 -1)\">_05_</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f2590\" text-anchor=\"start\" transform=\"translate(105.8 147.9) scale(1 -1)\">_06_</text>\n",
|
|
"<text class=\"l69t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f26b0\" text-anchor=\"start\" transform=\"translate(103.5 285.6) scale(1 -1)\">_07_</text>\n",
|
|
"<text class=\"l69t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f2740\" text-anchor=\"start\" transform=\"translate(259.9 139.4) scale(1 -1)\">_08_</text>\n",
|
|
"<text class=\"l69t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f27d0\" text-anchor=\"start\" transform=\"translate(250.7 161.5) scale(1 -1)\">_09_</text>\n",
|
|
"<text class=\"l69t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f2860\" text-anchor=\"start\" transform=\"translate(282.9 156.4) scale(1 -1)\">_10_</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f28f0\" text-anchor=\"start\" transform=\"translate(241.5 147.9) scale(1 -1)\">_11_</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f2980\" text-anchor=\"start\" transform=\"translate(110.4 185.3) scale(1 -1)\">_12_</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f2a10\" text-anchor=\"start\" transform=\"translate(128.8 205.7) scale(1 -1)\">_13_</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f2aa0\" text-anchor=\"start\" transform=\"translate(82.8 185.3) scale(1 -1)\">_14_</text>\n",
|
|
"<text class=\"l69t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f2620\" text-anchor=\"start\" transform=\"translate(296.7 159.8) scale(1 -1)\">_15_</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f2c40\" text-anchor=\"start\" transform=\"translate(315.1 130.9) scale(1 -1)\">_16_</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f2cd0\" text-anchor=\"start\" transform=\"translate(345 283.9) scale(1 -1)\">clk</text>\n",
|
|
"<text class=\"l69t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f2d60\" text-anchor=\"start\" transform=\"translate(236.9 253.3) scale(1 -1)\">clknet_0_clk</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f2df0\" text-anchor=\"start\" transform=\"translate(101.2 256.7) scale(1 -1)\">clknet_1_0__leaf_clk</text>\n",
|
|
"<text class=\"l69t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f2e80\" text-anchor=\"start\" transform=\"translate(218.5 328.1) scale(1 -1)\">clknet_1_1__leaf_clk</text>\n",
|
|
"<text class=\"l69t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f2f10\" text-anchor=\"start\" transform=\"translate(2.3 77.7) scale(1 -1)\">count[0]</text>\n",
|
|
"<text class=\"l69t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f2fa0\" text-anchor=\"start\" transform=\"translate(236.9 420.75) scale(1 -1)\">count[1]</text>\n",
|
|
"<text class=\"l69t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f3030\" text-anchor=\"start\" transform=\"translate(356.5 77.7) scale(1 -1)\">count[2]</text>\n",
|
|
"<text class=\"l70t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f30c0\" text-anchor=\"start\" transform=\"translate(41 173.4) scale(1 -1)\">count[3]</text>\n",
|
|
"<text class=\"l69t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f3150\" text-anchor=\"start\" transform=\"translate(319.7 320.45) scale(1 -1)\">count[4]</text>\n",
|
|
"<text class=\"l69t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f31e0\" text-anchor=\"start\" transform=\"translate(163.3 76) scale(1 -1)\">count[5]</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f3270\" text-anchor=\"start\" transform=\"translate(89.7 375.7) scale(1 -1)\">count[6]</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f3300\" text-anchor=\"start\" transform=\"translate(57.5 372.3) scale(1 -1)\">count[7]</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f3390\" text-anchor=\"start\" transform=\"translate(245.75 209.1) scale(1 -1)\">net1</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f3420\" text-anchor=\"start\" transform=\"translate(303.6 178.5) scale(1 -1)\">net2</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f2b30\" text-anchor=\"start\" transform=\"translate(213.9 209.1) scale(1 -1)\">net3</text>\n",
|
|
"<text class=\"l69t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f36c0\" text-anchor=\"start\" transform=\"translate(292.1 187) scale(1 -1)\">net4</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f3750\" text-anchor=\"start\" transform=\"translate(257.6 175.1) scale(1 -1)\">net5</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f37e0\" text-anchor=\"start\" transform=\"translate(246.1 331.5) scale(1 -1)\">net6</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f3870\" text-anchor=\"start\" transform=\"translate(147.2 175.1) scale(1 -1)\">net7</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f3900\" text-anchor=\"start\" transform=\"translate(154.1 294.1) scale(1 -1)\">net8</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f3990\" text-anchor=\"start\" transform=\"translate(85.1 261.8) scale(1 -1)\">net9</text>\n",
|
|
"<text class=\"l68t5\" dominant-baseline=\"text-before-edge\" id=\"0x34f3a20\" text-anchor=\"start\" transform=\"translate(264.5 120.7) scale(1 -1)\">rst</text>\n",
|
|
"<text class=\"l71t5\" dominant-baseline=\"central\" id=\"0x34f3ab0\" text-anchor=\"middle\" transform=\"translate(88.2 244.8) rotate(90) scale(1.2) scale(1 -1)\">VGND</text>\n",
|
|
"<text class=\"l71t5\" dominant-baseline=\"central\" id=\"0x34f3ba0\" text-anchor=\"middle\" transform=\"translate(55.2 242) rotate(90) scale(1.2) scale(1 -1)\">VPWR</text>\n",
|
|
"<text class=\"l69t5\" dominant-baseline=\"central\" id=\"0x34f3d00\" text-anchor=\"middle\" transform=\"translate(388.7 480) rotate(90) scale(0.14) scale(1 -1)\">clk</text>\n",
|
|
"<text class=\"l69t5\" dominant-baseline=\"central\" id=\"0x34f3e60\" text-anchor=\"middle\" transform=\"translate(2.3 20) rotate(90) scale(0.14) scale(1 -1)\">count[0]</text>\n",
|
|
"<text class=\"l69t5\" dominant-baseline=\"central\" id=\"0x34f3fc0\" text-anchor=\"middle\" transform=\"translate(227.7 480) rotate(90) scale(0.14) scale(1 -1)\">count[1]</text>\n",
|
|
"<text class=\"l69t5\" dominant-baseline=\"central\" id=\"0x34f4120\" text-anchor=\"middle\" transform=\"translate(356.5 20) rotate(90) scale(0.14) scale(1 -1)\">count[2]</text>\n",
|
|
"<text class=\"l70t5\" dominant-baseline=\"central\" id=\"0x34f4280\" text-anchor=\"middle\" transform=\"translate(20 173.4) scale(0.3) scale(1 -1)\">count[3]</text>\n",
|
|
"<text class=\"l70t5\" dominant-baseline=\"central\" id=\"0x34f43e0\" text-anchor=\"middle\" transform=\"translate(380 309.4) scale(0.3) scale(1 -1)\">count[4]</text>\n",
|
|
"<text class=\"l69t5\" dominant-baseline=\"central\" id=\"0x34f4540\" text-anchor=\"middle\" transform=\"translate(163.3 20) rotate(90) scale(0.14) scale(1 -1)\">count[5]</text>\n",
|
|
"<text class=\"l70t5\" dominant-baseline=\"central\" id=\"0x34f46a0\" text-anchor=\"middle\" transform=\"translate(20 377.4) scale(0.3) scale(1 -1)\">count[6]</text>\n",
|
|
"<text class=\"l69t5\" dominant-baseline=\"central\" id=\"0x34f4800\" text-anchor=\"middle\" transform=\"translate(34.5 480) rotate(90) scale(0.14) scale(1 -1)\">count[7]</text>\n",
|
|
"<text class=\"l70t5\" dominant-baseline=\"central\" id=\"0x34f4960\" text-anchor=\"middle\" transform=\"translate(380 105.4) scale(0.3) scale(1 -1)\">rst</text>\n",
|
|
"</g>\n",
|
|
"</svg>"
|
|
],
|
|
"text/plain": [
|
|
"<IPython.core.display.SVG object>"
|
|
]
|
|
},
|
|
"execution_count": 12,
|
|
"metadata": {},
|
|
"output_type": "execute_result"
|
|
}
|
|
],
|
|
"source": [
|
|
"import glob\n",
|
|
"import gdstk\n",
|
|
"import IPython.display\n",
|
|
"\n",
|
|
"gdsii = sorted(glob.glob(\"./build/runs/*/results/final/gds/*.gds\"))[-1]\n",
|
|
"top = gdstk.read_gds(gdsii).top_level()\n",
|
|
"top[0].write_svg('svg/inverter.svg')\n",
|
|
"IPython.display.SVG('svg/inverter.svg')"
|
|
]
|
|
},
|
|
{
|
|
"cell_type": "markdown",
|
|
"metadata": {
|
|
"id": "NW_7YdgTZYQK"
|
|
},
|
|
"source": [
|
|
"### Reporting\n",
|
|
"\n",
|
|
"Many reports are available under:\n",
|
|
"\n",
|
|
"```\n",
|
|
"freeechips/semicustom/runs/RUN_YYYY.MM.DD_HH.MM.SS/reports/.\n",
|
|
"```\n",
|
|
"\n",
|
|
"An overview of the main figures can be retrieved as well:"
|
|
]
|
|
},
|
|
{
|
|
"cell_type": "code",
|
|
"execution_count": 7,
|
|
"metadata": {
|
|
"colab": {
|
|
"base_uri": "https://localhost:8080/",
|
|
"height": 1000
|
|
},
|
|
"id": "OWAwQI3fZC4W",
|
|
"outputId": "d50dcf9f-30cd-42a3-dab5-12992bc9dca2",
|
|
"scrolled": true
|
|
},
|
|
"outputs": [
|
|
{
|
|
"data": {
|
|
"text/html": [
|
|
"<div>\n",
|
|
"<style scoped>\n",
|
|
" .dataframe tbody tr th:only-of-type {\n",
|
|
" vertical-align: middle;\n",
|
|
" }\n",
|
|
"\n",
|
|
" .dataframe tbody tr th {\n",
|
|
" vertical-align: top;\n",
|
|
" }\n",
|
|
"\n",
|
|
" .dataframe thead th {\n",
|
|
" text-align: right;\n",
|
|
" }\n",
|
|
"</style>\n",
|
|
"<table border=\"1\" class=\"dataframe\">\n",
|
|
" <thead>\n",
|
|
" <tr style=\"text-align: right;\">\n",
|
|
" <th></th>\n",
|
|
" <th>0</th>\n",
|
|
" </tr>\n",
|
|
" </thead>\n",
|
|
" <tbody>\n",
|
|
" <tr>\n",
|
|
" <th>design</th>\n",
|
|
" <td>/home/pierre/Bureau/freechips/semicustom/build</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>design_name</th>\n",
|
|
" <td>xor3</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>config</th>\n",
|
|
" <td>RUN_2023.05.03_00.24.56</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>flow_status</th>\n",
|
|
" <td>flow completed</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>total_runtime</th>\n",
|
|
" <td>0h0m18s0ms</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>routed_runtime</th>\n",
|
|
" <td>0h0m9s0ms</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>(Cell/mm^2)/Core_Util</th>\n",
|
|
" <td>7619.047619</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>DIEAREA_mm^2</th>\n",
|
|
" <td>0.001575</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>CellPer_mm^2</th>\n",
|
|
" <td>3809.52381</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>OpenDP_Util</th>\n",
|
|
" <td>7.16</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>Final_Util</th>\n",
|
|
" <td>-1</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>Peak_Memory_Usage_MB</th>\n",
|
|
" <td>468.28</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>synth_cell_count</th>\n",
|
|
" <td>2</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>tritonRoute_violations</th>\n",
|
|
" <td>0</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>Short_violations</th>\n",
|
|
" <td>0</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>MetSpc_violations</th>\n",
|
|
" <td>0</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>OffGrid_violations</th>\n",
|
|
" <td>0</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>MinHole_violations</th>\n",
|
|
" <td>0</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>Other_violations</th>\n",
|
|
" <td>0</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>Magic_violations</th>\n",
|
|
" <td>0</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>pin_antenna_violations</th>\n",
|
|
" <td>0</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>net_antenna_violations</th>\n",
|
|
" <td>0</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>lvs_total_errors</th>\n",
|
|
" <td>0</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>cvc_total_errors</th>\n",
|
|
" <td>-1</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>klayout_violations</th>\n",
|
|
" <td>-1</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>wire_length</th>\n",
|
|
" <td>127</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>vias</th>\n",
|
|
" <td>30</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>wns</th>\n",
|
|
" <td>0.0</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>pl_wns</th>\n",
|
|
" <td>-1</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>optimized_wns</th>\n",
|
|
" <td>-1</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>fastroute_wns</th>\n",
|
|
" <td>-1</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>spef_wns</th>\n",
|
|
" <td>0.0</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>tns</th>\n",
|
|
" <td>0.0</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>pl_tns</th>\n",
|
|
" <td>-1</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>optimized_tns</th>\n",
|
|
" <td>-1</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>fastroute_tns</th>\n",
|
|
" <td>-1</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>spef_tns</th>\n",
|
|
" <td>0.0</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>HPWL</th>\n",
|
|
" <td>138445.0</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>routing_layer1_pct</th>\n",
|
|
" <td>0.0</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>routing_layer2_pct</th>\n",
|
|
" <td>2.06</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>routing_layer3_pct</th>\n",
|
|
" <td>3.96</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>routing_layer4_pct</th>\n",
|
|
" <td>0.0</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>routing_layer5_pct</th>\n",
|
|
" <td>0.0</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>routing_layer6_pct</th>\n",
|
|
" <td>0.0</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>wires_count</th>\n",
|
|
" <td>5</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>wire_bits</th>\n",
|
|
" <td>5</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>public_wires_count</th>\n",
|
|
" <td>4</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>public_wire_bits</th>\n",
|
|
" <td>4</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>memories_count</th>\n",
|
|
" <td>0</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>memory_bits</th>\n",
|
|
" <td>0</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>processes_count</th>\n",
|
|
" <td>0</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>cells_pre_abc</th>\n",
|
|
" <td>2</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>AND</th>\n",
|
|
" <td>0</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>DFF</th>\n",
|
|
" <td>0</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>NAND</th>\n",
|
|
" <td>0</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>NOR</th>\n",
|
|
" <td>0</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>OR</th>\n",
|
|
" <td>0</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>XOR</th>\n",
|
|
" <td>2</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>XNOR</th>\n",
|
|
" <td>0</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>MUX</th>\n",
|
|
" <td>0</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>inputs</th>\n",
|
|
" <td>3</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>outputs</th>\n",
|
|
" <td>1</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>level</th>\n",
|
|
" <td>2</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>DecapCells</th>\n",
|
|
" <td>47</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>WelltapCells</th>\n",
|
|
" <td>5</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>DiodeCells</th>\n",
|
|
" <td>0</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>FillCells</th>\n",
|
|
" <td>9</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>NonPhysCells</th>\n",
|
|
" <td>6</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>TotalCells</th>\n",
|
|
" <td>67</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>CoreArea_um^2</th>\n",
|
|
" <td>520.4992</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>power_slowest_internal_uW</th>\n",
|
|
" <td>-1</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>power_slowest_switching_uW</th>\n",
|
|
" <td>-1</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>power_slowest_leakage_uW</th>\n",
|
|
" <td>-1</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>power_typical_internal_uW</th>\n",
|
|
" <td>0.000001</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>power_typical_switching_uW</th>\n",
|
|
" <td>0.000002</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>power_typical_leakage_uW</th>\n",
|
|
" <td>0.0</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>power_fastest_internal_uW</th>\n",
|
|
" <td>-1</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>power_fastest_switching_uW</th>\n",
|
|
" <td>-1</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>power_fastest_leakage_uW</th>\n",
|
|
" <td>-1</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>critical_path_ns</th>\n",
|
|
" <td>0.67</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>suggested_clock_period</th>\n",
|
|
" <td>10.0</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>suggested_clock_frequency</th>\n",
|
|
" <td>100.0</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>CLOCK_PERIOD</th>\n",
|
|
" <td>10.0</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>FP_ASPECT_RATIO</th>\n",
|
|
" <td>1</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>FP_CORE_UTIL</th>\n",
|
|
" <td>50</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>FP_PDN_HPITCH</th>\n",
|
|
" <td>153.18</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>FP_PDN_VPITCH</th>\n",
|
|
" <td>153.6</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>GRT_ADJUSTMENT</th>\n",
|
|
" <td>0.3</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>GRT_REPAIR_ANTENNAS</th>\n",
|
|
" <td>1</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>PL_TARGET_DENSITY</th>\n",
|
|
" <td>0.6</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>RUN_HEURISTIC_DIODE_INSERTION</th>\n",
|
|
" <td>0</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>STD_CELL_LIBRARY</th>\n",
|
|
" <td>sky130_fd_sc_hd</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>SYNTH_MAX_FANOUT</th>\n",
|
|
" <td>10</td>\n",
|
|
" </tr>\n",
|
|
" <tr>\n",
|
|
" <th>SYNTH_STRATEGY</th>\n",
|
|
" <td>AREA 0</td>\n",
|
|
" </tr>\n",
|
|
" </tbody>\n",
|
|
"</table>\n",
|
|
"</div>"
|
|
],
|
|
"text/plain": [
|
|
" 0\n",
|
|
"design /home/pierre/Bureau/freechips/semicustom/build\n",
|
|
"design_name xor3\n",
|
|
"config RUN_2023.05.03_00.24.56\n",
|
|
"flow_status flow completed\n",
|
|
"total_runtime 0h0m18s0ms\n",
|
|
"routed_runtime 0h0m9s0ms\n",
|
|
"(Cell/mm^2)/Core_Util 7619.047619\n",
|
|
"DIEAREA_mm^2 0.001575\n",
|
|
"CellPer_mm^2 3809.52381\n",
|
|
"OpenDP_Util 7.16\n",
|
|
"Final_Util -1\n",
|
|
"Peak_Memory_Usage_MB 468.28\n",
|
|
"synth_cell_count 2\n",
|
|
"tritonRoute_violations 0\n",
|
|
"Short_violations 0\n",
|
|
"MetSpc_violations 0\n",
|
|
"OffGrid_violations 0\n",
|
|
"MinHole_violations 0\n",
|
|
"Other_violations 0\n",
|
|
"Magic_violations 0\n",
|
|
"pin_antenna_violations 0\n",
|
|
"net_antenna_violations 0\n",
|
|
"lvs_total_errors 0\n",
|
|
"cvc_total_errors -1\n",
|
|
"klayout_violations -1\n",
|
|
"wire_length 127\n",
|
|
"vias 30\n",
|
|
"wns 0.0\n",
|
|
"pl_wns -1\n",
|
|
"optimized_wns -1\n",
|
|
"fastroute_wns -1\n",
|
|
"spef_wns 0.0\n",
|
|
"tns 0.0\n",
|
|
"pl_tns -1\n",
|
|
"optimized_tns -1\n",
|
|
"fastroute_tns -1\n",
|
|
"spef_tns 0.0\n",
|
|
"HPWL 138445.0\n",
|
|
"routing_layer1_pct 0.0\n",
|
|
"routing_layer2_pct 2.06\n",
|
|
"routing_layer3_pct 3.96\n",
|
|
"routing_layer4_pct 0.0\n",
|
|
"routing_layer5_pct 0.0\n",
|
|
"routing_layer6_pct 0.0\n",
|
|
"wires_count 5\n",
|
|
"wire_bits 5\n",
|
|
"public_wires_count 4\n",
|
|
"public_wire_bits 4\n",
|
|
"memories_count 0\n",
|
|
"memory_bits 0\n",
|
|
"processes_count 0\n",
|
|
"cells_pre_abc 2\n",
|
|
"AND 0\n",
|
|
"DFF 0\n",
|
|
"NAND 0\n",
|
|
"NOR 0\n",
|
|
"OR 0\n",
|
|
"XOR 2\n",
|
|
"XNOR 0\n",
|
|
"MUX 0\n",
|
|
"inputs 3\n",
|
|
"outputs 1\n",
|
|
"level 2\n",
|
|
"DecapCells 47\n",
|
|
"WelltapCells 5\n",
|
|
"DiodeCells 0\n",
|
|
"FillCells 9\n",
|
|
"NonPhysCells 6\n",
|
|
"TotalCells 67\n",
|
|
"CoreArea_um^2 520.4992\n",
|
|
"power_slowest_internal_uW -1\n",
|
|
"power_slowest_switching_uW -1\n",
|
|
"power_slowest_leakage_uW -1\n",
|
|
"power_typical_internal_uW 0.000001\n",
|
|
"power_typical_switching_uW 0.000002\n",
|
|
"power_typical_leakage_uW 0.0\n",
|
|
"power_fastest_internal_uW -1\n",
|
|
"power_fastest_switching_uW -1\n",
|
|
"power_fastest_leakage_uW -1\n",
|
|
"critical_path_ns 0.67\n",
|
|
"suggested_clock_period 10.0\n",
|
|
"suggested_clock_frequency 100.0\n",
|
|
"CLOCK_PERIOD 10.0\n",
|
|
"FP_ASPECT_RATIO 1\n",
|
|
"FP_CORE_UTIL 50\n",
|
|
"FP_PDN_HPITCH 153.18\n",
|
|
"FP_PDN_VPITCH 153.6\n",
|
|
"GRT_ADJUSTMENT 0.3\n",
|
|
"GRT_REPAIR_ANTENNAS 1\n",
|
|
"PL_TARGET_DENSITY 0.6\n",
|
|
"RUN_HEURISTIC_DIODE_INSERTION 0\n",
|
|
"STD_CELL_LIBRARY sky130_fd_sc_hd\n",
|
|
"SYNTH_MAX_FANOUT 10\n",
|
|
"SYNTH_STRATEGY AREA 0"
|
|
]
|
|
},
|
|
"execution_count": 7,
|
|
"metadata": {},
|
|
"output_type": "execute_result"
|
|
}
|
|
],
|
|
"source": [
|
|
"import glob\n",
|
|
"import pandas as pd\n",
|
|
"pd.options.display.max_rows = None\n",
|
|
"\n",
|
|
"pd.read_csv(sorted(glob.glob(\"./build/runs/*/reports/metrics.csv\"))[-1]).T"
|
|
]
|
|
},
|
|
{
|
|
"cell_type": "markdown",
|
|
"metadata": {},
|
|
"source": [
|
|
"# To have fun going further...\n",
|
|
"\n",
|
|
"## Sequential circuits in Verilog\n",
|
|
"\n",
|
|
"The tutorial above focuses on combinational circuits. Sequential circuits can obviously be described in Verilog as well. Sequential blocks feature an `always` block. Refer to the vendor's reference to get the sensitivity list's syntax (e.g. to determine if reset is synchronous or asynchronous).\n",
|
|
"\n",
|
|
"<blockquote><details>\n",
|
|
"\n",
|
|
"<summary>\n",
|
|
" \n",
|
|
"#### ↕️ Sequential structures in Verilog\n",
|
|
"\n",
|
|
"</summary>\n",
|
|
"\n",
|
|
"Nets which store data are declared as `reg`s:\n",
|
|
" \n",
|
|
"```verilog\n",
|
|
"// Three scalar register\n",
|
|
"reg op_b, op_a, result;\n",
|
|
"// One 16-bit register\n",
|
|
"reg [15:0] word_bus;\n",
|
|
"// 1K-array of 8-bit registers\n",
|
|
"reg [7:0] byte_array [0:1023];\n",
|
|
"```\n",
|
|
"\n",
|
|
"The following structure updates the memory points:\n",
|
|
" \n",
|
|
"```verilog\n",
|
|
"always @(posedge clk or negedge rst) begin\n",
|
|
" if (!rst) begin \n",
|
|
" counter <= 0;\n",
|
|
" end else begin\n",
|
|
" counter <= counter + 1;\n",
|
|
" end\n",
|
|
"end\n",
|
|
"```\n",
|
|
" \n",
|
|
"</details></blockquote>\n",
|
|
"\n",
|
|
"Advanced structures like the `case` structure can be used to describe finite state machines. FSM decoders can be described in an abstract way using `always` blocks in a fully combinational way:\n",
|
|
"\n",
|
|
"<blockquote><details>\n",
|
|
"\n",
|
|
"<summary>\n",
|
|
" \n",
|
|
"#### ↕️ Advanced structures in Verilog\n",
|
|
"\n",
|
|
"</summary>\n",
|
|
"\n",
|
|
"```verilog\n",
|
|
" \n",
|
|
"/*\n",
|
|
" * Case structure\n",
|
|
" */\n",
|
|
" \n",
|
|
"case (state)\n",
|
|
"\n",
|
|
" 3'b000: idle_led <= 1'b1;\n",
|
|
" \n",
|
|
" 3'b001,\n",
|
|
" 3'b010: work_led <= 1'b1;\n",
|
|
" \n",
|
|
" 3'b011: begin\n",
|
|
" muxed <= spi_1;\n",
|
|
" work_led <= 1'b1;\n",
|
|
" end\n",
|
|
" \n",
|
|
" default: begin\n",
|
|
" muxed <= 0;\n",
|
|
" work_led <= 1'b0; \n",
|
|
" idle_led <= 1'b1;\n",
|
|
" end\n",
|
|
"\n",
|
|
"endcase\n",
|
|
"\n",
|
|
"/*\n",
|
|
" * Combinational always structure\n",
|
|
" * To describe priorities in a procedural fashion,\n",
|
|
" * use blocking `<=` assignations instead of\n",
|
|
" * non-blocking `=` assignations.\n",
|
|
" */\n",
|
|
" \n",
|
|
"always @( * ) begin\n",
|
|
" flag <= 1'b0;\n",
|
|
" if (error) begin \n",
|
|
" flag <= 1'b1;\n",
|
|
" end\n",
|
|
"end\n",
|
|
"```\n",
|
|
"\n",
|
|
"</details></blockquote>\n",
|
|
" \n",
|
|
"The counter example can be synthetized:"
|
|
]
|
|
},
|
|
{
|
|
"cell_type": "code",
|
|
"execution_count": 8,
|
|
"metadata": {},
|
|
"outputs": [
|
|
{
|
|
"name": "stdout",
|
|
"output_type": "stream",
|
|
"text": [
|
|
"Writing v/cnt.v\n"
|
|
]
|
|
}
|
|
],
|
|
"source": [
|
|
"%%writefile v/cnt.v\n",
|
|
"module cnt(\n",
|
|
" input wire clk,\n",
|
|
" input wire rst,\n",
|
|
" output wire [7:0] count\n",
|
|
");\n",
|
|
"\n",
|
|
" reg [7:0] counter;\n",
|
|
" assign count = counter;\n",
|
|
"\n",
|
|
" always @(posedge clk or negedge rst) begin\n",
|
|
" if (!rst) begin \n",
|
|
" counter <= 0;\n",
|
|
" end else begin\n",
|
|
" counter <= counter + 1;\n",
|
|
" end\n",
|
|
" end\n",
|
|
"\n",
|
|
"endmodule"
|
|
]
|
|
},
|
|
{
|
|
"cell_type": "markdown",
|
|
"metadata": {},
|
|
"source": [
|
|
"For sequential circuits, one must specify to synthesize the clock tree as well:"
|
|
]
|
|
},
|
|
{
|
|
"cell_type": "code",
|
|
"execution_count": 10,
|
|
"metadata": {},
|
|
"outputs": [
|
|
{
|
|
"name": "stdout",
|
|
"output_type": "stream",
|
|
"text": [
|
|
"Overwriting build/config.json\n"
|
|
]
|
|
}
|
|
],
|
|
"source": [
|
|
"%%writefile build/config.json\n",
|
|
"{\n",
|
|
" \"DESIGN_NAME\": \"cnt\",\n",
|
|
" \"VERILOG_FILES\": \"dir::../v/cnt.v\",\n",
|
|
" \"CLOCK_TREE_SYNTH\": true,\n",
|
|
" \"CLOCK_PORT\": \"clk\",\n",
|
|
" \"FP_SIZING\": \"absolute\",\n",
|
|
" \"DIE_AREA\": \"0 0 40 50\",\n",
|
|
" \"FP_PDN_AUTO_ADJUST\": false,\n",
|
|
" \"FP_PDN_VOFFSET\": 0,\n",
|
|
" \"FP_PDN_HOFFSET\": 0,\n",
|
|
" \"DIODE_INSERTION_STRATEGY\": 3\n",
|
|
"}"
|
|
]
|
|
},
|
|
{
|
|
"cell_type": "markdown",
|
|
"metadata": {},
|
|
"source": [
|
|
"The flow can be started:"
|
|
]
|
|
},
|
|
{
|
|
"cell_type": "code",
|
|
"execution_count": 11,
|
|
"metadata": {
|
|
"scrolled": true
|
|
},
|
|
"outputs": [
|
|
{
|
|
"name": "stdout",
|
|
"output_type": "stream",
|
|
"text": [
|
|
"env: PDK=sky130A\n",
|
|
"OpenLane 2023.04.07_0_gcb634fd5-conda\n",
|
|
"All rights reserved. (c) 2020-2022 Efabless Corporation and contributors.\n",
|
|
"Available under the Apache License, version 2.0. See the LICENSE file for more details.\n",
|
|
"\n",
|
|
"\u001b[36m[INFO]: Using configuration in 'build/config.json'...\u001b[39m\n",
|
|
"\u001b[36m[INFO]: PDK Root: /home/pierre/anaconda3/envs/semicustom/share/pdk\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Process Design Kit: sky130A\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Standard Cell Library: sky130_fd_sc_hd\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Optimization Standard Cell Library: sky130_fd_sc_hd\u001b[39m\n",
|
|
"\u001b[33m[WARNING]: DIODE_INSERTION_STRATEGY is now deprecated; use GRT_REPAIR_ANTENNAS, DIODE_ON_PORTS and RUN_HEURISTIC_DIODE_INSERTION instead.\u001b[39m\n",
|
|
"\u001b[36m[INFO]: DIODE_INSERTION_STRATEGY set to 3. Setting GRT_REPAIR_ANTENNAS to 1\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Run Directory: /home/pierre/Bureau/freechips/semicustom/build/runs/RUN_2023.05.03_00.26.40\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Preparing LEF files for the nom corner...\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Preparing LEF files for the min corner...\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Preparing LEF files for the max corner...\u001b[39m\n",
|
|
"[STEP 1]\n",
|
|
"\u001b[36m[INFO]: Running Synthesis (log: build/runs/RUN_2023.05.03_00.26.40/logs/synthesis/1-synthesis.log)...\u001b[39m\n",
|
|
"[STEP 2]\n",
|
|
"\u001b[36m[INFO]: Running Single-Corner Static Timing Analysis (log: build/runs/RUN_2023.05.03_00.26.40/logs/synthesis/2-sta.log)...\u001b[39m\n",
|
|
"[STEP 3]\n",
|
|
"\u001b[36m[INFO]: Running Initial Floorplanning (log: build/runs/RUN_2023.05.03_00.26.40/logs/floorplan/3-initial_fp.log)...\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Floorplanned with width 28.52 and height 27.2.\u001b[39m\n",
|
|
"[STEP 4]\n",
|
|
"\u001b[36m[INFO]: Running IO Placement...\u001b[39m\n",
|
|
"[STEP 5]\n",
|
|
"\u001b[36m[INFO]: Running Tap/Decap Insertion (log: build/runs/RUN_2023.05.03_00.26.40/logs/floorplan/5-tap.log)...\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Power planning with power {VPWR} and ground {VGND}...\u001b[39m\n",
|
|
"[STEP 6]\n",
|
|
"\u001b[36m[INFO]: Generating PDN (log: build/runs/RUN_2023.05.03_00.26.40/logs/floorplan/6-pdn.log)...\u001b[39m\n",
|
|
"[STEP 7]\n",
|
|
"\u001b[36m[INFO]: Running Global Placement (log: build/runs/RUN_2023.05.03_00.26.40/logs/placement/7-global.log)...\u001b[39m\n",
|
|
"[STEP 8]\n",
|
|
"\u001b[36m[INFO]: Running Single-Corner Static Timing Analysis (log: build/runs/RUN_2023.05.03_00.26.40/logs/placement/8-sta-global.log)...\u001b[39m\n",
|
|
"[STEP 9]\n",
|
|
"\u001b[36m[INFO]: Running Placement Resizer Design Optimizations (log: build/runs/RUN_2023.05.03_00.26.40/logs/placement/9-resizer.log)...\u001b[39m\n",
|
|
"[STEP 10]\n",
|
|
"\u001b[36m[INFO]: Running Detailed Placement (log: build/runs/RUN_2023.05.03_00.26.40/logs/placement/10-detailed.log)...\u001b[39m\n",
|
|
"[STEP 11]\n",
|
|
"\u001b[36m[INFO]: Running Single-Corner Static Timing Analysis (log: build/runs/RUN_2023.05.03_00.26.40/logs/placement/11-sta.log)...\u001b[39m\n",
|
|
"[STEP 12]\n",
|
|
"\u001b[36m[INFO]: Running Clock Tree Synthesis (log: build/runs/RUN_2023.05.03_00.26.40/logs/cts/12-cts.log)...\u001b[39m\n",
|
|
"[STEP 13]\n",
|
|
"\u001b[36m[INFO]: Running Single-Corner Static Timing Analysis (log: build/runs/RUN_2023.05.03_00.26.40/logs/cts/13-sta.log)...\u001b[39m\n",
|
|
"[STEP 14]\n",
|
|
"\u001b[36m[INFO]: Running Placement Resizer Timing Optimizations (log: build/runs/RUN_2023.05.03_00.26.40/logs/cts/14-resizer.log)...\u001b[39m\n",
|
|
"[STEP 15]\n",
|
|
"\u001b[36m[INFO]: Running Global Routing Resizer Design Optimizations (log: build/runs/RUN_2023.05.03_00.26.40/logs/routing/15-resizer_design.log)...\u001b[39m\n",
|
|
"[STEP 16]\n",
|
|
"\u001b[36m[INFO]: Running Single-Corner Static Timing Analysis (log: build/runs/RUN_2023.05.03_00.26.40/logs/routing/16-sta-resizer_design.log)...\u001b[39m\n",
|
|
"[STEP 17]\n",
|
|
"\u001b[36m[INFO]: Running Global Routing Resizer Timing Optimizations (log: build/runs/RUN_2023.05.03_00.26.40/logs/routing/17-resizer_timing.log)...\u001b[39m\n",
|
|
"[STEP 18]\n",
|
|
"\u001b[36m[INFO]: Running Single-Corner Static Timing Analysis (log: build/runs/RUN_2023.05.03_00.26.40/logs/routing/18-sta-resizer_timing.log)...\u001b[39m\n",
|
|
"[STEP 19]\n",
|
|
"\u001b[36m[INFO]: Running Global Routing (log: build/runs/RUN_2023.05.03_00.26.40/logs/routing/19-global.log)...\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Starting OpenROAD Antenna Repair Iterations...\u001b[39m\n",
|
|
"[STEP 20]\n",
|
|
"\u001b[36m[INFO]: Writing Verilog (log: build/runs/RUN_2023.05.03_00.26.40/logs/routing/19-global_write_netlist.log)...\u001b[39m\n",
|
|
"[STEP 21]\n",
|
|
"\u001b[36m[INFO]: Running Single-Corner Static Timing Analysis (log: build/runs/RUN_2023.05.03_00.26.40/logs/routing/21-sta-groute.log)...\u001b[39m\n",
|
|
"[STEP 22]\n",
|
|
"\u001b[36m[INFO]: Running Fill Insertion (log: build/runs/RUN_2023.05.03_00.26.40/logs/routing/22-fill.log)...\u001b[39m\n",
|
|
"[STEP 23]\n",
|
|
"\u001b[36m[INFO]: Running Detailed Routing (log: build/runs/RUN_2023.05.03_00.26.40/logs/routing/23-detailed.log)...\u001b[39m\n",
|
|
"\u001b[36m[INFO]: No DRC violations after detailed routing.\u001b[39m\n",
|
|
"[STEP 24]\n",
|
|
"\u001b[36m[INFO]: Checking Wire Lengths (log: build/runs/RUN_2023.05.03_00.26.40/logs/routing/24-wire_lengths.log)...\u001b[39m\n",
|
|
"[STEP 25]\n",
|
|
"\u001b[36m[INFO]: Running SPEF Extraction at the min process corner (log: build/runs/RUN_2023.05.03_00.26.40/logs/signoff/25-parasitics_extraction.min.log)...\u001b[39m\n",
|
|
"[STEP 26]\n",
|
|
"\u001b[36m[INFO]: Running Multi-Corner Static Timing Analysis at the min process corner (log: build/runs/RUN_2023.05.03_00.26.40/logs/signoff/26-rcx_mcsta.min.log)...\u001b[39m\n",
|
|
"[STEP 27]\n",
|
|
"\u001b[36m[INFO]: Running SPEF Extraction at the max process corner (log: build/runs/RUN_2023.05.03_00.26.40/logs/signoff/27-parasitics_extraction.max.log)...\u001b[39m\n",
|
|
"[STEP 28]\n",
|
|
"\u001b[36m[INFO]: Running Multi-Corner Static Timing Analysis at the max process corner (log: build/runs/RUN_2023.05.03_00.26.40/logs/signoff/28-rcx_mcsta.max.log)...\u001b[39m\n",
|
|
"[STEP 29]\n",
|
|
"\u001b[36m[INFO]: Running SPEF Extraction at the nom process corner (log: build/runs/RUN_2023.05.03_00.26.40/logs/signoff/29-parasitics_extraction.nom.log)...\u001b[39m\n",
|
|
"[STEP 30]\n",
|
|
"\u001b[36m[INFO]: Running Multi-Corner Static Timing Analysis at the nom process corner (log: build/runs/RUN_2023.05.03_00.26.40/logs/signoff/30-rcx_mcsta.nom.log)...\u001b[39m\n",
|
|
"[STEP 31]\n",
|
|
"\u001b[36m[INFO]: Running Single-Corner Static Timing Analysis at the nom process corner (log: build/runs/RUN_2023.05.03_00.26.40/logs/signoff/31-rcx_sta.log)...\u001b[39m\n",
|
|
"\u001b[33m[WARNING]: Module sky130_fd_sc_hd__tapvpwrvgnd_1 blackboxed during sta\u001b[39m\n",
|
|
"\u001b[33m[WARNING]: Module sky130_ef_sc_hd__decap_12 blackboxed during sta\u001b[39m\n",
|
|
"\u001b[33m[WARNING]: Module sky130_fd_sc_hd__fill_1 blackboxed during sta\u001b[39m\n",
|
|
"\u001b[33m[WARNING]: Module sky130_fd_sc_hd__fill_2 blackboxed during sta\u001b[39m\n",
|
|
"[STEP 32]\n",
|
|
"\u001b[36m[INFO]: Creating IR Drop Report (log: build/runs/RUN_2023.05.03_00.26.40/logs/signoff/32-irdrop.log)...\u001b[39m\n",
|
|
"[STEP 33]\n",
|
|
"\u001b[36m[INFO]: Running Magic to generate various views...\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Streaming out GDSII with Magic (log: build/runs/RUN_2023.05.03_00.26.40/logs/signoff/33-gdsii.log)...\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Generating MAGLEF views...\u001b[39m\n",
|
|
"[STEP 34]\n",
|
|
"\u001b[36m[INFO]: Streaming out GDSII with KLayout (log: build/runs/RUN_2023.05.03_00.26.40/logs/signoff/34-gdsii-klayout.log)...\u001b[39m\n",
|
|
"[STEP 35]\n",
|
|
"\u001b[36m[INFO]: Running XOR on the layouts using KLayout (log: build/runs/RUN_2023.05.03_00.26.40/logs/signoff/35-xor.log)...\u001b[39m\n",
|
|
"\u001b[36m[INFO]: No XOR differences between KLayout and Magic gds.\u001b[39m\n",
|
|
"[STEP 36]\n",
|
|
"\u001b[36m[INFO]: Running Magic Spice Export from LEF (log: build/runs/RUN_2023.05.03_00.26.40/logs/signoff/36-spice.log)...\u001b[39m\n",
|
|
"[STEP 37]\n",
|
|
"\u001b[36m[INFO]: Writing Powered Verilog (logs: build/runs/RUN_2023.05.03_00.26.40/logs/signoff/37-write_powered_def.log, build/runs/RUN_2023.05.03_00.26.40/logs/signoff/37-write_powered_verilog.log)...\u001b[39m\n",
|
|
"[STEP 38]\n",
|
|
"\u001b[36m[INFO]: Writing Verilog (log: build/runs/RUN_2023.05.03_00.26.40/logs/signoff/37-write_powered_verilog.log)...\u001b[39m\n",
|
|
"[STEP 39]\n",
|
|
"\u001b[36m[INFO]: Running LVS (log: build/runs/RUN_2023.05.03_00.26.40/logs/signoff/39-lvs.lef.log)...\u001b[39m\n",
|
|
"[STEP 40]\n",
|
|
"\u001b[36m[INFO]: Running Magic DRC (log: build/runs/RUN_2023.05.03_00.26.40/logs/signoff/40-drc.log)...\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Converting Magic DRC database to various tool-readable formats...\u001b[39m\n",
|
|
"\u001b[36m[INFO]: No DRC violations after GDS streaming out.\u001b[39m\n",
|
|
"[STEP 41]\n",
|
|
"\u001b[36m[INFO]: Running OpenROAD Antenna Rule Checker (log: build/runs/RUN_2023.05.03_00.26.40/logs/signoff/41-antenna.log)...\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Saving current set of views in 'build/runs/RUN_2023.05.03_00.26.40/results/final'...\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Saving runtime environment...\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Generating final set of reports...\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Created manufacturability report at 'build/runs/RUN_2023.05.03_00.26.40/reports/manufacturability.rpt'.\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Created metrics report at 'build/runs/RUN_2023.05.03_00.26.40/reports/metrics.csv'.\u001b[39m\n",
|
|
"\u001b[36m[INFO]: There are no max slew, max fanout or max capacitance violations in the design at the typical corner.\u001b[39m\n",
|
|
"\u001b[36m[INFO]: There are no hold violations in the design at the typical corner.\u001b[39m\n",
|
|
"\u001b[36m[INFO]: There are no setup violations in the design at the typical corner.\u001b[39m\n",
|
|
"\u001b[32m[SUCCESS]: Flow complete.\u001b[39m\n",
|
|
"\u001b[36m[INFO]: Note that the following warnings have been generated:\u001b[39m\n",
|
|
"[WARNING]: Module sky130_fd_sc_hd__tapvpwrvgnd_1 blackboxed during sta\n",
|
|
"[WARNING]: Module sky130_ef_sc_hd__decap_12 blackboxed during sta\n",
|
|
"[WARNING]: Module sky130_fd_sc_hd__fill_1 blackboxed during sta\n",
|
|
"[WARNING]: Module sky130_fd_sc_hd__fill_2 blackboxed during sta\n",
|
|
"\n"
|
|
]
|
|
}
|
|
],
|
|
"source": [
|
|
"%env PDK=sky130A\n",
|
|
"!flow.tcl -design build"
|
|
]
|
|
},
|
|
{
|
|
"cell_type": "markdown",
|
|
"metadata": {},
|
|
"source": [
|
|
"The cell above can be reused to display the lyaout."
|
|
]
|
|
},
|
|
{
|
|
"cell_type": "markdown",
|
|
"metadata": {},
|
|
"source": [
|
|
"## High-Level Synthesis (HLS)\n",
|
|
"\n",
|
|
"RTL description of circuits does not follow an imperative programming paradigm. It is a description language that produces highly parallelized designs.\n",
|
|
"\n",
|
|
"High-Level Synthesis provides an imperative language and a compiler that synthesizes the imperative instructions into RTL. For instance, _XLS_ provides a _Rust_-like language:"
|
|
]
|
|
},
|
|
{
|
|
"cell_type": "code",
|
|
"execution_count": 13,
|
|
"metadata": {},
|
|
"outputs": [
|
|
{
|
|
"name": "stdout",
|
|
"output_type": "stream",
|
|
"text": [
|
|
"Writing xls/x/find_max.x\n"
|
|
]
|
|
}
|
|
],
|
|
"source": [
|
|
"%%writefile xls/x/find_max.x\n",
|
|
"\n",
|
|
"//\n",
|
|
"// Input: an array of 32-bit unsigned integers (u32) of parametrized length N\n",
|
|
"// Output: the largest element of the array\n",
|
|
"//\n",
|
|
"pub fn find_max<N: u32>(array: u32[N]) -> u32 {\n",
|
|
" let max: u32 = u32:0;\n",
|
|
" for (i, max): (u32, u32) in range(u32:0,N) {\n",
|
|
" if (array[i] > max) {array[i]} else {max}\n",
|
|
" }(max)\n",
|
|
"}\n",
|
|
"\n",
|
|
"//\n",
|
|
"// Input: an array of 32-bit unsigned integers (u32) of parametrized length 4\n",
|
|
"// Output: the largest element of the array\n",
|
|
"//\n",
|
|
"pub fn find_max_impl(array: u32[4]) -> u32 {\n",
|
|
" find_max<u32:4>(array)\n",
|
|
"}\n",
|
|
" \n",
|
|
"#[test]\n",
|
|
"fn find_max_impl_test() {\n",
|
|
" let _= assert_eq(find_max_impl(u32[4]:[45,3,15,6]), u32:45);\n",
|
|
" let _= assert_eq(find_max_impl(u32[4]:[3,45,15,6]), u32:45);\n",
|
|
" let _= assert_eq(find_max_impl(u32[4]:[15,3,45,6]), u32:45);\n",
|
|
" let _= assert_eq(find_max_impl(u32[4]:[6,3,15,45]), u32:45);\n",
|
|
"}\n",
|
|
"\n",
|
|
"#[test]\n",
|
|
"fn find_max_test() {\n",
|
|
" let _= assert_eq(find_max<u32:1>(u32[1]:[39]), u32:39);\n",
|
|
" let _= assert_eq(find_max<u32:2>(u32[2]:[4,90]), u32:90);\n",
|
|
" let _= assert_eq(find_max<u32:3>(u32[3]:[7,21,15]), u32:21);\n",
|
|
" let _= assert_eq(find_max<u32:8>(u32[8]:[1,3,45,1,5,56,0,34]), u32:56);\n",
|
|
"}"
|
|
]
|
|
},
|
|
{
|
|
"cell_type": "markdown",
|
|
"metadata": {},
|
|
"source": [
|
|
"Testing, parsing and linting can be performed prior to RTL synhesis:"
|
|
]
|
|
},
|
|
{
|
|
"cell_type": "code",
|
|
"execution_count": 14,
|
|
"metadata": {
|
|
"scrolled": true
|
|
},
|
|
"outputs": [
|
|
{
|
|
"name": "stdout",
|
|
"output_type": "stream",
|
|
"text": [
|
|
"[ RUN UNITTEST ] find_max_impl_test\n",
|
|
"[ OK ]\n",
|
|
"[ RUN UNITTEST ] find_max_test\n",
|
|
"[ OK ]\n",
|
|
"[===============] 2 test(s) ran; 0 failed; 0 skipped.\n"
|
|
]
|
|
}
|
|
],
|
|
"source": [
|
|
"!interpreter_main xls/x/find_max.x"
|
|
]
|
|
},
|
|
{
|
|
"cell_type": "markdown",
|
|
"metadata": {},
|
|
"source": [
|
|
"Now that the imperative instructions are tested, the RTL design can be synthesized by _XLS_:"
|
|
]
|
|
},
|
|
{
|
|
"cell_type": "code",
|
|
"execution_count": 15,
|
|
"metadata": {},
|
|
"outputs": [
|
|
{
|
|
"name": "stdout",
|
|
"output_type": "stream",
|
|
"text": [
|
|
"module __find_max__find_max_impl(\r\n",
|
|
" input wire [127:0] array,\r\n",
|
|
" output wire [31:0] out\r\n",
|
|
");\r\n",
|
|
" wire [31:0] array_unflattened[4];\r\n",
|
|
" assign array_unflattened[0] = array[31:0];\r\n",
|
|
" assign array_unflattened[1] = array[63:32];\r\n",
|
|
" assign array_unflattened[2] = array[95:64];\r\n",
|
|
" assign array_unflattened[3] = array[127:96];\r\n",
|
|
" wire [31:0] array_index_74;\r\n",
|
|
" wire [31:0] array_index_75;\r\n",
|
|
" wire [31:0] array_index_78;\r\n",
|
|
" wire [31:0] sel_79;\r\n",
|
|
" wire [31:0] array_index_82;\r\n",
|
|
" wire [31:0] sel_83;\r\n",
|
|
" assign array_index_74 = array_unflattened[2'h1];\r\n",
|
|
" assign array_index_75 = array_unflattened[2'h0];\r\n",
|
|
" assign array_index_78 = array_unflattened[2'h2];\r\n",
|
|
" assign sel_79 = array_index_74 > array_index_75 ? array_index_74 : array_index_75;\r\n",
|
|
" assign array_index_82 = array_unflattened[2'h3];\r\n",
|
|
" assign sel_83 = array_index_78 > sel_79 ? array_index_78 : sel_79;\r\n",
|
|
" assign out = array_index_82 > sel_83 ? array_index_82 : sel_83;\r\n",
|
|
"endmodule\r\n"
|
|
]
|
|
}
|
|
],
|
|
"source": [
|
|
"XLS_DESIGN_NAME = 'find_max_impl'\n",
|
|
"XLS_DESIGN_FILE = 'find_max'\n",
|
|
"!ir_converter_main --top={XLS_DESIGN_NAME} xls/x/{XLS_DESIGN_FILE}.x > xls/ir/{XLS_DESIGN_FILE}.ir\n",
|
|
"!opt_main xls/ir/{XLS_DESIGN_FILE}.ir > xls/ir/{XLS_DESIGN_FILE}_opt.ir\n",
|
|
"!codegen_main --generator=combinational xls/ir/{XLS_DESIGN_FILE}_opt.ir > v/{XLS_DESIGN_FILE}.v\n",
|
|
"!cat v/{XLS_DESIGN_FILE}.v"
|
|
]
|
|
},
|
|
{
|
|
"cell_type": "markdown",
|
|
"metadata": {},
|
|
"source": [
|
|
"## Verilog simulation\n",
|
|
"\n",
|
|
"A test bench can be written to simulate the `xor3` circuit described above:"
|
|
]
|
|
},
|
|
{
|
|
"cell_type": "code",
|
|
"execution_count": 16,
|
|
"metadata": {},
|
|
"outputs": [
|
|
{
|
|
"name": "stdout",
|
|
"output_type": "stream",
|
|
"text": [
|
|
"Writing tb/xor3_tb.v\n"
|
|
]
|
|
}
|
|
],
|
|
"source": [
|
|
"%%writefile tb/xor3_tb.v\n",
|
|
"module xor3_tb;\n",
|
|
"\n",
|
|
" wire value;\n",
|
|
" reg w1, w2, w3;\n",
|
|
" initial begin\n",
|
|
" $dumpfile(\"tb/xor3_tb.vcd\");\n",
|
|
" $dumpvars(0,xor3_tb);\n",
|
|
" # 0 w1 = 0; w2 = 0; w3 = 0;\n",
|
|
" # 5 w1 = 0; w2 = 0; w3 = 1;\n",
|
|
" # 5 w1 = 0; w2 = 1; w3 = 0;\n",
|
|
" # 5 w1 = 0; w2 = 1; w3 = 1;\n",
|
|
" # 5 w1 = 1; w2 = 0; w3 = 0;\n",
|
|
" # 5 w1 = 1; w2 = 0; w3 = 1;\n",
|
|
" # 5 w1 = 1; w2 = 1; w3 = 0;\n",
|
|
" # 5 w1 = 1; w2 = 1; w3 = 1;\n",
|
|
" # 5 $finish;\n",
|
|
" end\n",
|
|
"\n",
|
|
" xor3 xor3_i (w1, w2, w3, value);\n",
|
|
"\n",
|
|
" initial\n",
|
|
" $monitor(\"At time %t, value = %h (%0d)\",\n",
|
|
" $time, value, value);\n",
|
|
"endmodule"
|
|
]
|
|
},
|
|
{
|
|
"cell_type": "markdown",
|
|
"metadata": {},
|
|
"source": [
|
|
"The simulation can then be performed using _Icarus Verilog_:"
|
|
]
|
|
},
|
|
{
|
|
"cell_type": "code",
|
|
"execution_count": 17,
|
|
"metadata": {
|
|
"scrolled": true
|
|
},
|
|
"outputs": [
|
|
{
|
|
"name": "stdout",
|
|
"output_type": "stream",
|
|
"text": [
|
|
"VCD info: dumpfile tb/xor3_tb.vcd opened for output.\r\n",
|
|
"At time 0, value = 0 (0)\r\n",
|
|
"At time 5, value = 1 (1)\r\n",
|
|
"At time 15, value = 0 (0)\r\n",
|
|
"At time 20, value = 1 (1)\r\n",
|
|
"At time 25, value = 0 (0)\r\n",
|
|
"At time 35, value = 1 (1)\r\n",
|
|
"tb/xor3_tb.v:16: $finish called at 40 (1s)\r\n"
|
|
]
|
|
}
|
|
],
|
|
"source": [
|
|
"!iverilog -o tb/xor3_tb tb/xor3_tb.v v/xor3.v\n",
|
|
"!vvp tb/xor3_tb"
|
|
]
|
|
},
|
|
{
|
|
"cell_type": "markdown",
|
|
"metadata": {},
|
|
"source": [
|
|
"The waveforms are dumped in a `.vcd` file, located under:\n",
|
|
"\n",
|
|
"```\n",
|
|
"tb/xor3_tb.vcd\n",
|
|
"```\n",
|
|
"\n",
|
|
"It can be opened with https://vc.drom.io/ for instance."
|
|
]
|
|
},
|
|
{
|
|
"cell_type": "markdown",
|
|
"metadata": {},
|
|
"source": [
|
|
"## Some ideas...\n",
|
|
"\n",
|
|
"Here are a couple ideas to spend a good time exploring those beautiful pieces of software:\n",
|
|
"\n",
|
|
" * Play with RTL2GDS configuration parameters and observe the impact on the layout.\n",
|
|
" * Simulate the `cnt` sequential circuit.\n",
|
|
" * Simulate the `find_max_impl` circuit synthesized using HLS.\n",
|
|
" * Try to make _Klayout_ GUI work (no warranty).\n",
|
|
"\n",
|
|
" **Do not start from scratch! Use the provided examples, examples you can find on the internet and the documentation to adapt from them!**\n",
|
|
" \n",
|
|
" > Good luck and read the docs. 😉\n",
|
|
" \n",
|
|
"## More food for the brain\n",
|
|
"\n",
|
|
" * GDS2RTL flow configuration parameters: https://armleo-openlane.readthedocs.io/en/latest/docs/source/configuration.html\n",
|
|
" * DSLX language reference: https://google.github.io/xls/dslx_reference/"
|
|
]
|
|
},
|
|
{
|
|
"cell_type": "markdown",
|
|
"metadata": {},
|
|
"source": [
|
|
"# References\n",
|
|
"Inspired from:\n",
|
|
"“Silicon Notebooks.” CHIPS Alliance, Apr. 08, 2023. Accessed: Apr. 10, 2023. [Online]. Available: https://github.com/chipsalliance/silicon-notebooks/blob/b65134a43b01ae31423f7ee87110740b2257ac42/digital-inverter-openlane.ipynb (Apache License 2.0)"
|
|
]
|
|
}
|
|
],
|
|
"metadata": {
|
|
"colab": {
|
|
"name": "digital-inverter-openlane.ipynb",
|
|
"provenance": []
|
|
},
|
|
"kernelspec": {
|
|
"display_name": "Python 3 (ipykernel)",
|
|
"language": "python",
|
|
"name": "python3"
|
|
},
|
|
"language_info": {
|
|
"codemirror_mode": {
|
|
"name": "ipython",
|
|
"version": 3
|
|
},
|
|
"file_extension": ".py",
|
|
"mimetype": "text/x-python",
|
|
"name": "python",
|
|
"nbconvert_exporter": "python",
|
|
"pygments_lexer": "ipython3",
|
|
"version": "3.7.16"
|
|
}
|
|
},
|
|
"nbformat": 4,
|
|
"nbformat_minor": 1
|
|
}
|